극자외선 리소그래피
Extreme ultraviolet lithography극자외선 리소그래피(EUV 또는 EUVL이라고도 함)는 약 13.5nm의 2% FWHM 대역폭에 걸쳐 광범위한 극자외선(EUV) 파장을 사용하는 광학 리소그래피 기술로, 포토레지스트에 의해 기판에 반사되는 UV 빛에 반사 포토마스크를 노출시킴으로써 패턴을 생성합니다.반도체 소자 제작 공정에서 널리 활용되고 있다.
ASML Holding은 2022년 기준으로 칩 생산용 EUV 시스템을 생산·판매하는 유일한 업체로 주로 5nm를 목표로 하고 있다.2019 국제 전자 소자 회의(IEDM)에서 TSMC는 핀, 게이트 또는 금속 [1][2]라인에 절단을 적용할 수 있는 접촉층, 경유층, 절단층 5nm에 EUV를 사용한다고 보고했습니다.IEDM 2020에서 TSMC는 5nm의 최소 금속 피치가 40nm인 [3][4]7nm에서 30% 감소한다고 보고했습니다.삼성의 5nm는 석판 인쇄로 7nm와 동일한 설계 규칙이며 최소 금속 피치는 36nm입니다.[5]
Fab 툴 출력
레이어 수 | 툴 WPD | # 도구 | WPM |
---|---|---|---|
5 | 1500 | 5 | 45000 |
10 | 1500 | 10 | 45000 |
15 | 1500 | 15 | 45000 |
15 | 1500 | 30 | 90000 |
20 | 1500 | 40 | 90000 |
25 | 1500 | 50 | 90000 |
출처: EUV 툴을 출하하기 위한 ASML
마스크
EUV 포토마스크는 빛을 [6]반사하는 방식으로 작동하며, 이는 몰리브덴과 실리콘의 여러 층을 번갈아 사용함으로써 달성됩니다.이는 석영 기판 위에 단일 크롬층을 이용해 빛을 차단하는 기존 포토마스크와는 대조적이다.한 극자 외 마스크 40를 통과 실리콘과 몰리브덴 층의;[7]이 다층 행위 브랙 회절을 통해 극단적인 자외선을 반영하기 위해, 입사각과 파장을 긴 파장이 더 많은 근처에 있는 보통 발생 및 짧은 파장이 더 많은 떨어져 norma으로부터 반사되는 반사의 반사율은 강력한 함수로 구성되어 있다.l발생률패턴은 다층 [8]위의 탄탈 기반 흡수층에서 정의됩니다.다층은 얇은 루테늄 [9][10]층에 의해 보호될 수 있다.
블랭크 포토마스크는 주로 주식회사 AGC와 주식회사 [11][12]호야의 2개사가 제조하고 있다.빈 포토 마스크는 포토 레지스트로 덮여 있으며, 포토 레지스트는 오븐에서 굽고(고화) 후에 마스크리스 [13]리소그래피를 사용하여 레이저 빛에 노출된다.노출된 포토 레지스트를 현상(제거)하고 보호되지 않은 영역을 식각합니다.그 후, 나머지 포토 레지스트는 삭제됩니다.그런 다음 마스크를 검사하고 나중에 전자 [14]빔을 사용하여 수리합니다.식각은 매우 특정한 깊이까지 이루어져야 하므로 기존의 포토마스크 [15]제조와 비교할 때 식각이 어렵다.
도구.
이 도구는 레이저 구동 주석(Sn) 플라즈마 광원, 다층 미러로 구성된 반사 광학으로 구성되며, [16]주변 수소 가스 내에 포함되어 있습니다.수소는 EUV 컬렉터 미러를 Sn [17]증착 없이 소스에 유지하는 데 사용됩니다.
EUVL은 딥 자외선 리소그래피 표준에서 크게 벗어난 것입니다.모든 물질은 EUV 방사선을 흡수한다.따라서 EUV 리소그래피에는 진공이 필요합니다.포토마스크를 포함한 모든 광학 소자는 층간 간섭을 통해 빛을 반사하는 역할을 하는 결함이 없는 몰리브덴/실리콘(Mo/Si) 다층(40 Mo/Si 쌍층으로 구성)을 사용해야 한다. 이러한 거울 중 하나는 입사 빛의 약 30%를 흡수한다.
현재의 EUVL 시스템은 적어도 2개의 콘덴서 다층 미러, 6개의 투영 다층 미러 및 1개의 다층 물체(마스크)를 포함합니다.거울은 EUV 빛의 96%를 흡수하기 때문에 이상적인 EUV 선원은 이전 모델보다 훨씬 밝아야 합니다.EUV 소스 개발은 레이저 또는 방전 펄스에 의해 발생하는 플라스마에 초점을 맞추고 있다.광 채집을 담당하는 거울은 플라즈마에 직접 노출되어 고에너지[18][19] 이온 및 주석 방울과 같은 기타[20] 파편에 의한 손상에 취약하므로 비용이 많이 드는 수집 거울은 [21]매년 교체해야 합니다.
자원 요건
효용. | 200 W 출력 EUV | 90 W 출력 ArF 침지 이중 패턴화 |
---|---|---|
전력(kW) | 532 | 49 |
냉각수 흐름(L/min) | 1600 | 75 |
가스관 | 6 | 3 |
EUV에 필요한 효용 자원은 193nm 침수에 비해 상당히 크다. 후자를 사용한 두 번의 피폭에도 불구하고 말이다.하이닉스는 2009년 EUV 심포지엄에서 EUV의 벽면 플러그 효율이 0.02%로 시간당 웨이퍼 100개에 대해 중간 초점 200와트를 얻으려면 ArF 침지 스캐너 165킬로와트의 입력 전력이 필요하고, 같은 스루풋이라도 EU의 풋프린트 효율은 0.02%라고 보고했다.ArF 몰입 스캐너 인쇄로 인해 생산성이 [22]저하됩니다.또한 이온 부스러기를 제한하기 위해 초전도 자석이 [23]필요할 수 있다.
일반적인 EUV 툴의 무게는 180톤입니다.[24]
DUV 대 EUV 도구 에너지 소비량(2020년 측정):[25]EUV 도구는 몰입 도구보다 최소 10배 더 많은 에너지를 소비합니다.
플랫폼 | DUV 몰입 NXT: 2050i | EUV NXE: 3400C(30mJ/cm2) |
---|---|---|
소비 전력 | 0.13 MW | 1.31 MW |
스루풋 | 296 Wph | 136 Wph |
웨이퍼 패스당 에너지 효율 | 0.45kWh | 9.64 kWh |
연간 웨이퍼 수 | 2,584,200 | 1,191,360 |
주요 기능의 개요
다음 표는 개발 중인 EUV 시스템과 현재 생산에 널리 사용되는 ArF 몰입 시스템 간의 주요 차이점을 요약한 것입니다.
EUV | ArF 몰입 | |
---|---|---|
파장 | 약 13.5 nm의 2%의 FWHM 대역폭 | 193 nm |
광자 에너지 | 91~93 eV | 6.4 eV |
광원 | CO2 레이저 + Sn 플라즈마 | ArF 엑시머 레이저 |
파장 대역폭 | 5.9%[26] | 0.16%[27] 미만 |
흡수에 의해 생성되는 2차 전자 | 네. | 아니요. |
광학 | 반사 다층(거울 1개당 최대 40% 흡수) | 투과 렌즈 |
숫자 개구(NA) | 0.25: NXE: 3100 0.33: NXE: 33x0 및 NXE: 3400B 높은 NA(0.55) : 개발 중 | 1.20, 1.35 |
해상도 사양 k1 = 분해능 / (파장 / 숫자 개구부) | NXE:3100: NXE: 3300B: 22 nm(k1=0.54), NXEI3100BJ 18 nm(k1=0.44) (오프축 조명 포함) NXE: 3350B: 16 nm (k1=0.39) NXE:3400B/C, NXE:3600D:13 nm(k1=0.32) | 27 nm (k1=0.50)38 nm(k1=0.27) |
플레어 | 4%[28] | 1%[29] 미만 |
일루미네이션 | 레티클에 대한 축에서 6° 벗어난 중심 각도 | 온축 |
필드 크기 | 0.25 및 0.33 NA: 26 mm × 33 mm 하이 NA: 26 mm × 16.5 mm[30] | 26 mm × 33 mm |
확대 | 0.25 및 0.33 NA: 4배 동형 높은 NA: 4배/8배 아나모픽 | 4배 |
환경 | 진공 수소 | 공기(수중 노출 웨이퍼 영역) |
수차 제어(열 포함) | 없음. | 예, FlexWave[31] 등 |
조명 슬릿 | 원호[32] 모양 | 직사각형[33] |
레티클 | 반사 다층 패턴 | 투과 기판의 패턴 |
레티클 수직 위치를 사용한 웨이퍼 패턴 이동 | 있음(반사로 인해), 1:40까지[34] | 아니요. |
페리클 | 사용 가능하지만 문제가 있습니다. | 네. |
1일 웨이퍼 수(공구와 용량에 따라 다름) | 1500 | 6000 |
현장에 있는 도구 수 | > 90 (모든 0.33 NA 툴 모델) | 400 이상 |
0.33 NA 도구의 분해능이 다른 이유는 조명 옵션이 다르기 때문입니다.광섬유의 분해능이 20nm 미만일 가능성이 있음에도 불구하고 저항의 2차 전자는 분해능을 실질적으로 약 20nm로 제한합니다(자세한 내용은 아래 [35]참조).
광원 전원, 스루풋 및 가동 시간
중성 원자나 응축 물질은 EUV 방사선을 방출할 수 없다.물질에서 EUV 방출보다 이온화가 선행되어야 한다.다치 양이온의 열생산은 EUV를 [36]강하게 흡수하는 고밀도 플라즈마에서만 가능하다.2016년 현재 확립된 EUV 광원은 레이저 펄스 주석 [37]플라즈마이다.이온은 방출되는 EUV 빛을 흡수하고 플라즈마 내 전자에 의해 쉽게 중화되어 주로 사용할 수 없는 다른 파장에서 빛을 발생시키는 전하 상태를 낮춥니다. 따라서 플라즈마 전력 밀도가 높을 때 리소그래피용 광 발생 효율이 크게 저하됩니다.
throughput은 [38]선량으로 나눈 전원과 연결됩니다.펄스 파워를 증가시킬 수 없는 경우 선량이 높을수록 느린 단계 모션(더 낮은 처리량)이 필요합니다.
EUV 수집기 반사율은 50kHz 펄스 10억 개당 최대 0.1~0.3%(약 2주 내에 최대 10%)까지 저하되어 가동 시간과 스루풋이 손실되는 반면, 처음 몇 억 개 펄스(1일 이내)에서도 여전히 20%(+/-10%)의 [39]변동률이 있습니다.이는 위에서 언급한 Sn 잔류물이 완전히 [40][41]제거되지 않았기 때문일 수 있습니다.한편, 기존의 더블 패턴용 액침 리소그래피 툴은 최대 [42]1년간 일관된 출력을 제공합니다.
최근 NXE:3400B 조명 장치는 변속기 [43]손실 없이 최대 20%까지 동공 채우기 비율(PFR)이 더 작아졌습니다.PFR은 45 [44]nm의 금속 피치 주변에서 최대화되고 0.2보다 커집니다.
EUV 빛도 흡수하는 EUV 미러를 사용하기 때문에 최종적으로 웨이퍼에서 소스 빛의 극히 일부만 사용할 수 있습니다.조명광학에는 4개의 미러가 사용되고 투영광학에는 6개의 미러가 사용됩니다.EUV 마스크 또는 레티클 자체는 추가 미러입니다.11개의 반사를 통해 EUV 소스 빛의 2%까지만 [45]웨이퍼에서 사용할 수 있습니다.
툴 가동 시간
EUV 광원은 스루풋 외에 툴의 가동시간을 제한합니다.예를 들어, 2주 이내에 7시간 이상의 다운타임이 스케줄 되어 있을 수 있습니다.또, 예정외의 문제를 포함한 실제의 다운타임이 1일을 [45]넘는 경우도 있습니다.선량 오차가 2%를 넘으면 툴 [45]다운타임이 보증됩니다.
다른 리소그래피 광원과의 비교
최첨단 193nm ArF 엑시머 레이저의 강도는 200W2/[46]cm이지만 EUV 발생 플라스마 생성용 레이저의 강도는 10W/[47]cm2 정도로 훨씬11 높아야 합니다.최첨단 ArF 침지 리소그래피 120W 광원은 40kW[48] 이하, EUV 광원은 40kW [49]이하를 목표로 한다.
EUV 리소그래피의 전력 목표는 최소 250W이지만 다른 기존 리소그래피 소스의 전력 목표는 훨씬 적다.[45]예를 들어 액침 리소그래피 광원은 90W, 건조 ArF원은 45W, KrF원은 40W를 대상으로 한다.고NA EUV 선원은 최소 500W가 [45]필요할 것으로 예상된다.
EUV 고유의 광학적 문제
반사 광학
반사광학 사용으로 인한 EUVL 도구의 기본 측면은 다층 마스크의 오프 축 조명(6도 각도에서 조명 [50]슬릿 내의 다른 위치에서 다른 방향으로)이다.이로 인해 섀도우 효과가 발생하고 [51][52]회절 패턴의 비대칭성이 발생하여 다음과 같이 패턴의 충실도를 다양한 방법으로 저하시킵니다.예를 들어, 한쪽(그림자 뒤)이 다른 쪽(그림자 [53]안쪽)보다 밝게 나타납니다.
반사면 내(수평선에 영향을 미치는) 광선의 거동은 반사면 외(수직선에 영향을 미치는)[54] 광선의 거동과 다르다.가장 눈에 띄는 것은 EUV 마스크의 동일한 크기의 수평 및 수직 라인이 웨이퍼에 서로 다른 크기로 인쇄되어 있다는 점입니다.
축외 비대칭과 마스크 섀도우 효과의 조합으로 인해 근접한 곳에서도 동일한 두 특징이 [55]동시에 초점을 맞출 수 없게 됩니다.EUVL의 주요 이슈 중 하나는 한 쌍의 수평선(이른바 "두 개의 막대")의 상단 라인과 하단 라인 사이의 비대칭성이다.부분적으로 보정하는 몇 가지 방법은 비대칭 [56]조명뿐만 아니라 보조 기능의 사용이다.
이 2바 케이스는 다수의 수평선으로 이루어진 격자로 확장되어 디포커스와 [57]같은 감도를 나타낸다.이는 11개의 수평선 세트의 상단 및 하단 가장자리 라인 사이의 임계 치수(CD) 차이로 나타납니다.
디포커스로부터의 패턴 이동(비텔레센터성)
부분 전송으로 인해 EUV 마스크 흡수기는 라인 공간 패턴의 0번째와 1번째 회절 순서 사이에 위상차를 발생시켜 이미지 변화(특정 조명 각도에서)와 피크 강도 변화(라인 폭 [58][59]변화로 이어짐)를 유발하며, 이는 디포커스로 [60][61]인해 더욱 강화됩니다.결과적으로, 다른 피치와 다른 조명 각도에 대해 최적의 초점을 맞추는 위치가 달라집니다.일반적으로 조명 소스 포인트가 쌍으로 되어 있기 때문에(각각 광축의 반대쪽에 있음) 이미지 이동이 균형 있게 이루어집니다.그러나 개별 소스 영상 이동이 충분히 클 경우 개별 영상이 중첩되고 결과 영상 대비가 저하됩니다.위상차에 따라 최적의 초점 위치가 결정됩니다.
또한 멀티레이어는 멀티레이어 [62]내부에서 회절된 빛으로부터의 위상 변화로 인한 이미지 이동을 담당합니다.이는 마스크 [63]패턴을 두 번 통과하는 빛 때문에 불가피합니다.
반사를 사용하면 웨이퍼 노출 위치가 레티클 평탄도 및 레티클 클램프에 극도로 민감해집니다.따라서 레티클 클램프 청결을 유지해야 합니다.웨이퍼 디포커스([64]defocus)와 함께 국소 경사면에서의 마스크 평탄도의 작은(mrad-scale) 편차.더 중요한 것은 마스크 포커스로 인해 큰 오버레이 [65][66]오류가 발생한다는 것입니다.특히 10nm 노드메탈 1층(48nm, 64nm, 70nm 피치, 절연선 및 전원선 포함)의 경우 40nm 마스크 z 위치 [67]이동에 대해 수정할 수 없는 패턴 배치 오류는 1nm였습니다.이것은 이전에 정의된 레이어에 대한 레이어의 글로벌 패턴 이동입니다.그러나 마스크 평탄도(예: 다층 아래에 매설된 결점)에서 서로 다른 국소 편차로 인해 서로 다른 위치의 피쳐도 다르게 이동합니다.마스크 비평탄도가 오버레이 오차에 미치는 영향은 피크 대 밸리 [68]두께 변동의 약 1/40배라고 추정할 수 있습니다.빈 피크 투 밸리 사양이 50 nm일 경우 최대 1.25 nm의 이미지 배치 오류가 발생할 수 있습니다.최대 80nm의 공백 두께 변화도 원인이 되어 최대 2nm의 이미지 [68]이동이 발생합니다.
레티클의 축 외 조명도 웨이퍼 디포커스의 비텔레센터성의 원인이며, 이는 100nm [70]피치의 설계 규칙에도 불구하고 NXE:3400 EUV 스캐너의[69] 1.4nm 오버레이 버짓 대부분을 소비합니다.24nm선에 대한 최악의 수정이 불가능한 패턴 배치가 1.1nm, 친척에게 인접한 72nm 전력선, 시속 80nm웨이퍼 초점 위치 변화에서 단일 슬릿 위치를 반환하고, 때across-slit 성능은 포함되어, 최악의 오류는 150nm의 웨이퍼 초점을 흐리게 하다 window[67]에서 2017년, 광선 현미경을 흉내 낸0.33 나디아 극자 외 l.ith0.2/0.9 퀘이사 45 조명이 있는 그래픽 시스템에서는 +/- 50nm 디포커스 [71]창 내에서 80nm 피치 접점 배열이 -0.6~1.0nm로 이동한 반면 56nm 피치 접점 배열은 수평 기준선에 대해 -1.7~1.0nm로 이동한 것으로 나타났습니다.
또한 웨이퍼 포커스가 흐트러지면 로컬 마스크 평탄도에서 벗어나 영상 배치 오류가 발생합니다.국소 기울기가 각도α로 나타나는 경우, 화상은 4x 투영 툴로 8α x(DOF/2) = 4α DOF만큼 이동하도록 투영됩니다. 여기서 DOF는 [72]초점 깊이입니다.초점 깊이가 100nm인 경우, 평탄도로부터 국소적으로 2.5mrad(0.14°)의 작은 편차가 1nm의 패턴 시프트로 이어질 수 있습니다.
슬릿 위치 의존성
조명의 방향도 슬릿 위치에 따라 크게 달라지며, 기본적으로 방위 방향으로 [76][77][32][78][79][80]회전합니다.Nanya Technology와 Synopsys는 쌍극자 [81]조명과 함께 슬릿을 가로질러 수평 바이어스와 수직 바이어스가 변화하는 것을 발견했습니다.입사 회전면(-25°~25° 범위 내)EUV 투영 [82]리소그래피 시스템의 광학을 모방한 CXRO의 SHARP 액티닉 리뷰 현미경에서 확인되었습니다.그 이유는 거울이 직선 직사각형 필드를 호 모양의 [83][84]필드로 변환하는 데 사용되기 때문입니다.고정된 입사 평면을 보존하기 위해 이전 거울로부터의 반사는 다른 슬릿 위치에 대한 표면과의 다른 각도에서 발생할 것이다. 이는 반사율의 불균형을 야기한다.균일성을 유지하기 위해 입사면의 회전 대칭을 사용한다.[85]보다 일반적으로, 소위 "링 필드" 시스템은 축외 [86]고리로부터 파생된 호 모양의 필드의 회전 대칭에 의존함으로써 수차를 감소시킵니다.반사 시스템은 수차를 악화시키는 축 외 경로를 사용해야 하기 때문에 이 방법이 선호된다.따라서 호 모양의 슬릿의 서로 다른 절반 내에서 동일한 다이 패턴은 서로 다른 OPC를 필요로 합니다.따라서 다이 투 다이 비교를 통해 더 이상 실제로 동일한 다이(die-to-die)가 아니기 때문에 검사 불가능하게 됩니다.쌍극자, 4극자 또는 헥사폴 조명이 필요한 피치의 경우 회전은 다른 슬릿 위치(예: 가장자리 대 중심)에서 동일한 패턴 레이아웃과 불일치를 일으킨다.상기 각도의존성 다층반사율에 의해 고리형 또는 원형조명에서도 회전대칭이 파괴된다.방위각 범위는 +/- ~20°[87]이지만(NXE3400[88] 필드 데이터는 18.2°[89]를 나타냄)0.33 NA 스캐너에서는 7 nm 설계 규칙(36-40 nm 피치)에서 조명의 허용 오차는 +/-15°[90][91] 또는 그 [92][93][88]이하가 될 수 있습니다.고리 모양의 조명의 불균일성과 비대칭도 [94]영상에 큰 영향을 미칩니다.
슬릿 전체에 걸친 피치의존적 쌍극자 조명 트렌드의 입사각이 크면 수평선 음영에 큰 영향을 주지 않지만,[95] 수직선 음영은 중심에서 가장자리로 갈수록 증가한다.또한 상위 NA 시스템은 티겟 피치를 [95]목표로 하기 때문에 섀도우잉을 제한적으로 완화시킬 수 있습니다.
슬릿 [79]위치 의존성은 DRAM에서 발생하는 기울어진 패턴에서 특히 어렵습니다.음영과 동공 회전에 의한 보다 복잡한 효과 외에도 기울어진 가장자리가 계단 모양으로 변환되어 OPC에 의해 왜곡될 수 있습니다.실제로 EUV에 의한 32nm 피치 DRAM은 최소 9F2 셀 영역까지 늘어나며, 여기서 F=활성 영역은 반감소(기존에는 [81]6F였습니다2)입니다.2-D 자기 정렬 이중 패턴 생성 활성 영역 컷을 사용해도 셀 영역은 [96]8.9F로2 여전히 낮습니다.
아원자(<0.1 nm) 규격으로부터의[97] 광학 표면의 편차와 열변형으로부터[98][99] 발생하며 편광 반사 효과를 [100]포함할 수 있는 수차도 소스 마스크 최적화(SMO)와 관련하여 아래에서 자세히 논의될 슬릿 [101][99]위치에 따라 달라진다.열유발 수차는 각 위치가 변형 [102]미러의 다른 부분과 조우하기 때문에 슬릿을 가로지르는 서로 다른 위치 간에 차이를 보일 것으로 예상된다.아이러니컬하게도 열 및 기계적 안정성이 높은 기판 재료를 사용하면 파면 오류를[103] 보정하기가 더욱 어려워집니다.
파장의 범위와 조합하여, 회전 입사면은 EUV 이미징에 [104]대한 이미 심각한 확률적 영향을 악화시킨다.
파장 대역폭(색수차)
DUV 리소그래피 소스와 달리, 엑시머 레이저에 기반한 EUV 플라즈마 소스는 광범위한 [105]파장에 걸쳐 빛을 생성합니다.EUV 스펙트럼은 완전한 단색도 아니고 DUV 레이저 소스만큼 스펙트럼적으로 순수하지도 않지만, 일반적으로 작동 파장은 13.5 nm로 간주되어 왔다.실제로 반사전력은 대부분 13.3~13.7nm [106]범위에서 분배됩니다.EUV 리소그래피에 사용되는 다층 거울에 의해 반사되는 EUV 빛의 대역폭은 +/-2%(> 270 pm)[107] 이상입니다. 주어진 조명 각도의 파장 변화에 따른 위상 변화를 계산하여[108] 수차 [109]버젯과 비교할 수 있습니다.반사율의[108][106] 파장 의존성은 동공 전체에 걸친 조명 분포(각도가 다른 경우)에도 영향을 미칩니다. 파장이 다르면 마스크의 [110][106]다층(multilayer)에 의해 서로 다르게 반사되므로 효과적으로 서로 다른 조명을 '확인'할 수 있습니다.이 효과적인 소스 조명 기울기는 포커스로 [111]인해 큰 이미지 이동을 초래할 수 있습니다.반대로 입사 [106][112]각도가 다르기 때문에 피크 반사 파장은 눈동자 전체에서 변화합니다.각도가 광범위한 반지름(예: 고리형 조명)에 걸쳐 있을 경우 이 현상이 악화됩니다.입사각이 [113]작을수록 피크 반사율 파장은 증가합니다.비주기적 다층층은 낮은 반사율을 희생시키면서 감도를 감소시키도록 제안되었지만, 두께 제어 부정확성 또는 상호 [114]확산과 같은 층 두께의 무작위 변동에 너무 민감하다.특히 최소 분해능 피치의 2배까지 피치의 초점이 맞지 않는 고밀도 라인은 파장에 의존한 에지 [115]시프트를 겪습니다.
대역폭이 좁아지면 마스크 업소버 및 1nm [116][117]스케일 버퍼 두께에 대한 감도가 높아집니다.
플레어
플레어는 빛에 의해 분해되지 않는 표면 특징의 산란에서 발생하는 배경광의 존재이다.EUV 시스템에서 이 빛은 EUV 또는 EUV 소스에 의해 생성되는 대역 외(OB) 빛일 수 있습니다.OoB 빛은 EUV 피폭에 의해 설명되지 않는 방법으로 레지스트 피폭에 영향을 미치는 복잡성을 더한다.OoB 빛 노출은 레지스트 위에 코팅된 층과 EUV [118]마스크의 '검은 테두리' 기능을 통해 완화될 수 있습니다.단, 층상 코팅은 불가피하게 EUV광을 흡수하고 검은 테두리는 EUV 마스크 처리 비용을 부가한다.
선단 효과
EUV의 주요 과제는 하프피치([92]hp)가 축소되었을 때의 회선 팁 투 팁(T2T) 거리의 카운터 스케일링 동작입니다.이는 부분적으로 EUV 리소그래피에서 사용되는 바이너리 마스크의 이미지 대비가 낮기 때문인데, 몰입 [119][120]리소그래피에서는 위상 편이 마스크를 사용할 수 없습니다.선단 모서리의 반올림은 선단 단축으로 [121]이어지며, 이는 [122]이진 마스크의 경우 더 심각합니다.EUV 리소그래피에서의 위상 편이 마스크의 사용은 연구되어 왔지만, 얇은[123] 층에서의 위상 제어와 EUV [124]빛의 대역폭에 관한 문제에 직면하고 있다.보다 통례적으로 OPC(광학적 근접 보정)는 모서리 반올림 및 선단 단축에 대처하기 위해 사용됩니다.그럼에도 불구하고 선단 투 팁 해상도와 선단 인쇄성이 서로 교환되어 [125]사실상 반대 극성의 CD인 것으로 나타났다.
단방향 금속 층에서 팁 간 간격은 단일 노출 패턴 작성에서 더 심각한 문제 중 하나입니다.40 nm 피치 수직 라인의 경우, 18 nm의 공칭 팁 투 팁 갭이 OPC(광학적 근접 보정)[92]에서 29 nm의 실제 팁 투 팁 거리가 발생한 반면, 32 nm 피치 수평 라인의 경우, 14 nm의 공칭 갭이 있는 팁 투 팁 거리는 OPC에서 [126]31 nm가 되었습니다.이러한 실제 팁 간 거리는 팁과 수직인 방향으로 흐르는 금속의 하프 피치의 하한을 정의합니다.이 경우 하한은 약 30 nm입니다.조명의 추가 최적화(소스 마스크 최적화 섹션에서 설명)를 통해 하한을 약 25nm로 [127]더 줄일 수 있습니다.
기존의 조명을 사용할 수 있는 대형 피치의 경우 일반적으로 선단-선단 거리가 더 커집니다.명목상 20nm의 간격이 있는 24nm 하프피치 라인의 경우 실제 거리는 45nm였지만 32nm 하프피치 라인의 경우 동일한 공칭 간격은 34nm의 [126]팁 투 팁 거리가 되었습니다.OPC에서는 24nm 하프피치의 경우 각각 [128]39nm, 28nm가 됩니다.
EUV 패턴 작성의 개선 기회
지원 기능
보조 기능은 종종 7nm 노드에서 [129][130]시작하여 서로 다른 조명 각도로 인해 서로 다른 슬릿 위치에서 비 텔레센터성으로부터 비대칭의 균형을 맞추기 위해 사용됩니다. 여기서 피치는 ~13.5nm 파장에 대해 ~41nm이고 NA=0.33으로 k1~0.[131]5에 해당합니다.그러나 지원 기능이 주로 가장 높은 공간 주파수를 향상시키므로 비대칭성은 감소하지만 제거되지는 않는다. 반면 특징 초점과 위치에 영향을 미치는 중간 공간 주파수는 큰 영향을 받지 않는다.기본 이미지와 자체 이미지 간의 결합이 너무 강해서 지원 기능으로 비대칭을 제거할 수 없습니다. 비대칭 조명만 이를 [56]달성할 수 있습니다.보조 기능은 전원/그라운드 레일에 접근하는 데 방해가 될 수도 있습니다.파워 레일은 더 넓어질 것으로 예상되며, 이는 국소 피치를 제한함으로써 어시스트 기능의 사용 효과도 제한합니다.최소 피치의 1배에서 2배 사이의 로컬 피치는 로컬 피치의 대칭을 유지할 여지가 없기 때문에 피처 배치를 지원합니다.실제로 2바 비대칭 케이스에 적용하기 위해 최적의 어시스트 기능 배치는 2바 [130]피치보다 작거나 초과할 수 있습니다.최적화하는 파라미터(프로세스 윈도 영역, 초점 깊이, 노출 위도)에 따라 최적의 어시스트 기능 구성은 매우 다를 수 있습니다.예를 들어 어시스트 기능과 바 사이의 피치가 2바 피치, 대칭 또는 비대칭 등입니다.
58nm 미만의 피치에서는 보조 기능 [130]배치에 의한 초점 깊이 향상과 대조도 손실 사이에 트레이드오프가 있습니다.일반적으로, 보조 기능이 실수로 인쇄되지 않도록 해야 하는 필요성에 의해 선량 윈도우가 제약되기 때문에 초점-노출 트레이드오프가 여전히 존재한다.
샷 [132]노이즈에서 추가적인 문제가 발생합니다. 보조 기능을 실수로 [133]인쇄하지 않도록 하위 분해능 보조 기능(SRAF)으로 인해 필요한 선량이 낮아집니다.그 결과, 보다 작은 특징을 정의하는 광자가 적어진다(숏 노이즈에 대한 섹션의 설명 참조).
SARAF는 1차 특징보다 작은 특징이며 인쇄하기에 충분히 높은 선량을 공급받도록 되어 있지 않기 때문에 [134]인쇄 오류를 유발하는 확률적 선량 변동에 더 취약하다.이것은, 주된 특징이 80 mJ/cm2 로 인쇄되어 있어도, 확률적인 인쇄에 시달리는 EUV 에서는 특히 금지되어 있습니다.
소스 마스크 최적화
비텔레센터성의 영향으로 인해 디스크 또는 고리 모양과 같은 표준 조명 동공 모양은 최대 20nm 이하의 기능 크기(10nm 노드 이상)[70]에 사용하기에 충분하지 않습니다.대신 동공의 특정 부분(종종 50% 이상)은 비대칭적으로 제외해야 합니다.제외되는 부품은 패턴에 따라 다릅니다.특히, 가장 밀도가 높은 허용 선은 한 방향을 따라 정렬되어야 하며 쌍극자 형태를 선호합니다.이러한 상황에서는 각각 자체 1D 패턴 마스크와 쌍극자 [135][136]방향이 필요한 X 및 Y 지향 패턴이 존재하기 때문에 2D 패턴에 대해 이중 노출 리소그래피가 필요합니다.200–400개의 조명 지점이 있을 수 있으며, 각각 선량의 무게에 따라 포커스를 통해 전체 영상의 균형을 맞출 수 있습니다.따라서 샷 노이즈 효과(나중에 설명)는 많은 특징 집단에서 포커스를 통해 영상 위치에 결정적으로 영향을 미친다.
패턴이 다른 피치, 방향, 모양 및 크기로 인해 크게 다른 최적화된 조명을 필요로 하는 하위 패턴으로 구성된 경우에도 이중 또는 다중 패턴 처리가 필요합니다.
슬릿 위치 및 이상 영향
주로 슬릿 [87]모양과 잔류 [137]수차의 존재로 인해 SMO의 효과는 슬릿 [138]위치에 따라 달라집니다.각 슬릿 위치에는 다른 수차와[101] 다른 입사 방위각이 있어 다른 [32]음영으로 이어진다.따라서 수차에 민감한 특징에 대해 슬릿 전체에 보정되지 않은 변화가 있을 수 있으며, 이는 규칙적인 선공간 [130]패턴에서는 분명히 보이지 않을 수 있다.각 슬릿 위치에서는 상기 어시스트 기능을 포함한 광학 근접 보정(OPC)이 [139][140]수차에 대처하기 위해 적용될 수 있지만, 편익이 다른 조명 [139]조건에 따라 다르기 때문에 조명 [141][138][142][143]사양에 피드백할 수도 있다.따라서 각 슬릿 위치에서 서로 다른 소스-마스크 조합, 즉 [101][144]층당 다중 마스크 노출을 사용해야 한다.
마스크에 의한 [110]아포다이제이션에 의해 상기의 색수차도 다른 파장에 대한 소스 마스크 최적화를 부정합하게 합니다.
피치 의존형 포커스 창
특정 피쳐 크기에 대한 최적의 포커스는 특정 조명 하에서 [145]피치, 극성 및 방향의 강력한 함수에 따라 달라집니다.36 nm 피치에서는 수평 및 수직 다크필드 기능의 초점 차이가 30 nm를 넘습니다.34 nm 피치와 48 nm 피치의 피치는 피처 타입에 관계없이 베스트 포커스의 차이가 가장 큽니다.48~[146]64nm 피치 범위에서 최적의 초점 위치는 10~20nm만큼 피치의 함수로서 대략적으로 선형으로 이동한다.34~48 nm 피치 범위의 경우, 최적의 초점 위치는 피치의 함수로서 반대 방향으로 거의 선형으로 이동한다.이는 0과 첫 번째 회절 [147]순서 사이의 위상 차이와 상관될 수 있습니다.보조 기능은 피치 내에 들어갈 수 있다면 중간 [148]피치 범위에서 이러한 경향을 크게 줄이지 않거나 심지어 18-27 nm 및 퀘이사 [149]조명의 경우 이를 악화시키지 않는 것으로 나타났다.100 nm 및 150 nm 피치의 50 nm 접점 구멍은 약 25 nm 떨어져 있는 최적의 초점 위치를 가졌다. 작은 기능은 [150]더 나빠질 것으로 예상된다.48~100nm 피치 범위의 접점 구멍은 37nm의 최적 초점 [151]범위를 보였습니다.최적의 초점 위치 대 피치도 레지스트에 [152]따라 달라집니다.임계 레이어에는 한 극성의 최소 피치(예: 다크필드 트렌치)에 다른 방향의 다른 극성의 공간과 혼합된 한 방향(예: 수직)의 선이 포함되는 경우가 많다.이것에 의해, 최적인 포커스 차이가 확대되어, 팁 투 팁이나 팁 투 라인 [153]이미징의 문제가 되는 일이 자주 있습니다.
동공 충진 감소
SMO와 포커스 윈도우 전환의 결과로 동공 충진이 감소했습니다.즉, 최적 조명은 고려해야 할 다양한 패턴에 대해 선호 조명의 최적화된 중첩이다.이것은 더 낮은 동공 채우기로 이어져 [154][155][156][157]더 나은 결과를 제공한다.
위상 편이 마스크
EUV의 일반적인 장점은 특징 크기에 파장을 곱한 비율(k1 비율이라고도 함)에서 알 수 있듯이 비교적 쉽게 리소그래피를 할 수 있다는 것입니다.예를 들어, 18 nm 금속선폭의 k1은 13.5 nm 파장에 대해 0.44, 0.33 NA입니다.0.5에 가까워지는 k1의 경우, 감쇠 위상 편이 마스크를 포함한 일부 약한 분해능 향상이 ArF 레이저 파장(193 nm)[158][159][160][161][162][163]을 사용한 생산에 필수적인 것으로 사용되었지만,[164][165] 이 분해능 향상은 EUV에서는 사용할 수 없습니다.특히 흡수체 가장자리에서의 산란을 포함한 3D 마스크 효과는 원하는 위상 [165]프로파일을 왜곡합니다.또, 입사 평면파가 [166]아니라, 다층으로부터 흡수체를 개입시켜 반사된 평면파 스펙트럼으로부터 위상 프로파일을 효과적으로 도출한다.흡수기를 사용하지 않을 경우 경사 입사 [167]조명으로 인해 에칭된 다층 측벽에서도 근거리 왜곡이 발생합니다. 일부 빛은 [53]측벽 근처의 제한된 수의 양층만 통과합니다.또한 편파(TE 및 TM)마다 위상 편이가 [53]다릅니다.
EUV 포토 레지스트 노출: 전자의 역할
EUV 빛은 물질에 흡수되면 광전자를 발생시킨다.이러한 광전자는 화학 [168]반응에 관여하기 전에 느려지는 2차 전자를 생성한다.충분한 용량에서 40 eV 전자는 180 nm 두께의 저항체를 투과하여 [169]발달하는 것으로 알려져 있습니다.1개의 전자/광자를 가정했을 때 160μC/cm의22 용량에서 30개의 eV 전자가 표준 개발 [170]후 7nm의 PMMA 레지스트를 제거했다.1개의 전자/광자에서 36mJ/cm에2 해당하는 380μC/cm2 이상의 30eV 선량에 대해 10.4nm의 PMMA 레지스트를 제거합니다.[171]이것들은 [172]방향에 관계없이 전자가 저항으로 이동할 수 있는 거리를 나타냅니다.
2차 전자가 분해능에 미치는 영향의 가장 최근 측정에서 93 eV 광전자(금 하층으로부터)는 [173]저항에서 28 nm의 1/e 감쇠 길이를 가진 것으로 밝혀졌다.전자수 감쇠는 레지스트에서 전류로 포착된 전자의 비율로 측정되었습니다.이는 방출된 전자의 37%가 여전히 노출 발생 지점에서 28nm 이상으로 이동함을 의미합니다.
흡수성이 높은 레지스트는 레지스트 상단의 빛을 더 많이 제거하여 레지스트 하단의 빛을 더 적게 만듭니다.흡수가 클수록 레지스트의 상단과 하단의 흡수 선량 간에 더 크고 유의한 차이가 발생한다.
저항 깊이 | 흡수(1/um) | 흡수(5/um) | 흡수(20/um) |
---|---|---|---|
상위 10 nm | 1% | 5% | 18% |
깊이 10~20 nm | 1% | 4.5% | 15% |
깊이 20~30 nm | 1% | 4.5% | 12% |
깊이 30 ~ 40 nm | 1% | 4% | 10% |
깊이 40~50 nm | 1% | 4% | 8% |
즉, 레지스트 흡수가 적을수록 흡수가 수직 균일해집니다.일반적으로 포토 레지스트는 가능한 한 투명하게 만들어 이 수직 균일성을 위해 노력함으로써 보다 직선적인 레지스트 프로파일을 가능하게 합니다.한편, EUV의 경우, 이는 현재 EUV 전력 수준에서 더 민감하게 하기 위해 흡수를 증가시킨다는 목표와 상충된다.사격 소음도 또 다른 문제로, 아래에서 자세히 설명합니다.
광전자 및 2차 전자 이동이 분해능에 미치는 영향
2013년 EUVL 워크숍에서 발표된 Nanoscale College of Science and Engineering(CNSE)의 연구에 따르면 EUV 광전자 및 2차 전자 흐림의 측정값으로 50-100 eV 전자가 15nm의 레지스트 두께(PMMA 또는 상용 레지스트)를 넘어 쉽게 침투하는 것으로 나타났으며, 영향을 받은 레지스트의 범위는 30nma의 30nm 이상임을 나타냅니다.200–300 uC/[174]cm를2 초과하는 선량의 경우 EUV 흡수 지점.이는 2015년 [175]후반에 보고된 40nm 미만의 피치에 대한 영상 대비 저하와 비교할 수 있습니다.
레지스트를 통한 전자 투과 과정은 본질적으로 확률적 과정이다. 방출된 전자에 의한 저항 노출은 광자 [176][177]흡수 지점에서 상당히 멀리 발생할 수 있다.선량을 증가시키면 원거리 전자의 수가 증가하여 저항 손실이 확대됩니다.최대 80uc/cm2 용량에서 80eV 전자에 노출된 화학적으로 증폭된 선도 EUV는 최대 7.5nm의 저항 두께 [178]손실을 보였다.200 uC/cm2 x 80 eV 전자에 의해 노출된 오픈 소스 레지스트의 경우, 노출 후 베이크 및 현상 후 손실된 레지스트 두께는 약 13 nm였으며, 선량을 두 배로 늘린 결과 손실은 15 [179]nm로 증가했습니다.한편, 500 uC/cm2 이상의 용량에서는 가교로 [178]인해 레지스트가 두꺼워지기 시작합니다.
EUV 포토 레지스트의 기초층으로부터의 광전자 방출 정도가 초점 [180]깊이에 영향을 미치는 것으로 나타났다.안타깝게도 하드마스크 층은 광전자 방출을 증가시켜 초점 깊이를 떨어뜨리는 경향이 있습니다.
포토 레지스트 자체에서 광전자의 생성은 영상 형성의 기본 부분이며 [181][182][183]선량에 따라 증가하는 무작위 확산으로 특징지어집니다.
2차 전자 수의 무작위성은 EUV 레지스트 영상에서 [184][183]확률적 거동의 원천이다.
2차 전자 흐림 대 선량
직접 광전류 측정은 EUV 방사선에 대한 2차 전자 수율을 얻기 위해 사용되어 왔다.이 수율에 대해 대략적으로 보정된 시뮬레이션에 따르면 2차 전자 흐림은 [185]용량에 따라 증가합니다.이는 선량이 증가하고 포획 부위를 감소시키는 반응이 진행됨에 따라 포획 부위의 수가 감소하는 것과 관련이 있다.
저에너지 전자 유도 사건도 선량이 증가함에 따라 광자 흡수 부위에서 주어진 거리에서 수가 증가한다.이는 저에너지 전자 [186]선량의 함수로서 저항 두께 손실 측정에 의해 확인되었다.2차 전자의 용량 의존적 확산은 전자빔 [187]리소그래피로도 알려져 있었다.
선량이 증가함에 따라 2차 전자 흐림이 증가하면 확률적 결함의 제어가 더욱 [188]어려워집니다.
충전 및 전자 포획
다양한 에너지의 2차 전자가 생성되기 때문에 레지스트의 전하가 국소적으로 [189]변동할 수 있습니다.흐림이 적은 EUV 노출은 피처 [190]엣지에서 전하 차이가 두드러지게 나타나 전기장이 [191]커질 수 있습니다.이러한 큰 전장은 유전체 [189]파괴로 이어지는 것으로 관찰되었다.2차 전자의 포획은 [189]필름에서 방출되는 2차 전자의 감소로 이어지지만, 트랩 부위 자체가 고갈되어 용량이 큰 [185]경우 2차 전자의 흐림이 효과적으로 연장될 수 있습니다.전자 포획은 전자의 최종 에너지 증착을 트랩 장소 위치에 제한하는 폴라론 행동의 [192][193]일부로 발생할 것으로 예측됩니다.폴라론 크기는 저항의 경우 상당히 클 수 있습니다.[193] 예를 들어 PMMA의 경우 46 nm입니다.
오염 영향
배기 가스 배출 방지
포토 레지스트의 EUV 흡수 효율이 높기 때문에 가열과 배기가스가 주요 관심사가 됩니다.잘 알려진 문제 중 하나는 주변 또는 배기된 탄화수소로 인한 레지스트의 오염 퇴적이며, 이는 EUV 또는 전자 구동 [194]반응으로 인해 발생합니다.유기 사진은 가스 탄화수소보다[195] 강한 반면, 금속 산화물은 물[196], 산소 및 금속(주변 수소)보다 강한 것으로, 마지막 사진은 [41]불결합니다.탄소 오염은 다층 반사율에[197] 영향을 미치는 것으로 알려져 있으며, 산소는 EUV 다층 [198]광학계의 루테늄 캡 층에 특히 해롭다.
주석 재배포
공구 챔버의 원자 수소는 EUV 광학 [199]표면에 퇴적된 주석과 탄소를 청소하는 데 사용됩니다.원자 수소는 EUV 빛에 의해 직접 광이온화2 H:
h440 + H2 → H+ + H + e−[200]
위의 반응에서 생성된 전자는 H를 분해하여2 원자 수소를 형성할 수도 있습니다.
e− + H2 → H+ + H + 2e−[200]
광원, 레지스트 또는 광학 표면에서 주석과 반응하여 휘발성 SnH4를 형성합니다.
Sn(s) + 4H(g) → SnH4(g).[199]
SnH는4 다른 EUV 광학 표면의 코팅에 도달할 수 있으며, 반응을 통해 Sn을 재투입합니다.
SnH4 → Sn(s) + 2H2(g).[199]
재배포는 다른 중간 [201]반응에 의해서도 발생할 수 있다.
재증착된[40][41] Sn은 이후 원자 수소 피폭에 의해 제거될 수 있다.그러나 전반적으로 주석 세척 효율은 재적분과 수소 탈착으로 인해 0.01% 미만이므로 원자수소를 [199]희생하여 수소 분자를 형성할 수 있다.
수소 수포
수소는 금속 함유 화합물과 반응해 [202]금속으로 환원하고 다층 내 실리콘과[203] 몰리브덴을[204] 통해 확산돼 결국 [205][206]물집이 생긴다.수소 관련 손상을 완화하는 뚜껑 층은 종종 반사율을 70% 이하로 [205]감소시킨다.캡 층은 산소와 [208][209][210][211]수소를 포함한[207] 주변 가스에 투과성이 있을 뿐만 아니라 수소에 의해 유발되는 기포 결함에 [212]영향을 받기 쉬운 것으로 알려져 있다.또한 수소는 캡 층과 반응하여 제거할 [213]수 있습니다.
내식성
수소는 또한 저항체와 반응하여 부식되거나[214][215] 분해됩니다[216].포토 레지스트 외에도 수소 플라즈마는 매우 [217][non-primary source needed]느리지만 실리콘을 부식시킬 수 있다.
막
위의 효과를 완화하기 위해 2017년에 도입된 최신 EUV 공구인 NXE:3400B에는 공구의 투영 광학에서 웨이퍼를 분리하는 막이 있어 [43]웨이퍼의 레지스트로부터 웨이퍼를 보호합니다.막은 DUV 및 적외선 방사선을 흡수하는 층을 포함하고 있으며 입사 EUV 방사선의 85-90%를 전달합니다.물론 웨이퍼 아웃가스로 인한 누적 오염과 일반적인 입자(후자는 초점이 맞지 않지만 여전히 빛을 방해할 수 있음)가 있습니다.
마스크 결함
극자외선(EUV) 마스크 결함 감소는 [218]현재 EUV 리소그래피의 상용화를 위해 가장 중요한 문제 중 하나입니다.결함은 다층[219] 스택 아래 또는 내부에 묻힐 수도 있고 다층 스택 위에 있을 수도 있습니다.다층 증착에 사용되는 스패터링 타겟에 메사스 또는 돌기가 형성되며, 다층 [220]증착 중에 입자로 떨어질 수 있다.실제로 100nm FWHM의 원자 스케일 높이(0.3~0.5nm)의 결함은 10%의 CD [221]충격을 나타내면 인쇄할 수 있습니다.IBM과 Toppan은 Photoask Japan 2015에서 50nm 크기 같은 작은 결함들은 0.6nm 높이에서도 10% CD 영향을 미칠 수 있지만 감지되지 [222]않는 상태로 남아 있다고 보고했다.
또한 표면에 대한 입사각도 84도 어긋남으로써 평탄도로부터의 편차가 3도를 넘으면 위상결함의 가장자리는 반사율을 10% 이상 감소시킨다.결함 높이가 얕아도 엣지는 여전히 오버레이어 다층을 변형시켜 다층이 경사져 있는 확장 영역을 생성한다.변형이 갑작스러울수록 결점 모서리 확장이 좁아지고 반사율 손실이 커집니다.
EUV 마스크 결함 수리는 위에서 언급한 교차 슬릿 조명 변화 때문에 더 복잡합니다.슬릿 전체에 걸쳐 음영 감도가 다르기 때문에 EUV 마스크 조명 [223]슬릿의 다른 위치에서 수리 부착 높이를 매우 신중하게 제어해야 합니다.
다층 반사율 랜덤 변동
GlobalFoundries와 Lawrence Berkeley Labs는 Monte Carlo 연구를 수행하여 EUV [224]마스크의 EUV 빛을 반사하는 데 사용되는 다층 내 몰리브덴(Mo)과 실리콘(Si) 층 간의 혼합 효과를 시뮬레이션했다.결과는 층 두께의 원자 규모 변화에 대한 높은 민감도를 나타냈다.그러한 변화는 광역 반사율 측정으로는 검출할 수 없지만 임계 치수(CD)[224]의 규모에서는 유의하다.반사율의 국소적 변동은 몇 nm 표준 [225]편차에 대해 10% 정도일 수 있다.
다층 손상
10 mJ/cm2 미만의 여러 EUV 펄스는 Ru 캡 Mo/Si 다층 미러 광학 소자에 손상을 [226]누적시킬 수 있습니다.입사 각도는 16° 또는 0.28 rads였으며, 이는 0.33 NA 광학 시스템의 각도 범위 내에 있었다.
페리클레스
EUV 생산 도구에는 오염으로부터 마스크를 보호하기 위한 페리클이 필요합니다.펠리클은 일반적으로 노출 자체뿐만 아니라 노출 챔버로 이동, 출입하는 동안 입자들로부터 마스크를 보호할 것으로 기대됩니다.펠리클이 없으면 입자 첨가제는 수율을 줄일 수 있는데, 이는 193 nm의 빛과 펠리클을 사용하는 기존의 광학 리소그래피에서는 문제가 되지 않았습니다.그러나 EUV의 경우 과도한 EUV 흡수를 방지하기 위해 차폐막의 박막화가 필요하기 때문에 페리클 사용의 실현 가능성은 매우 어렵다.펠리클이 제조 [227]목표 전력인 200W 이상에서 안정적이지 않을 경우 입자 오염이 금지됩니다.
EUV 마스크 펠리클(80W 입사 전력의 필름 온도 최대 750K)의 가열은 변형 및 투과 [228]감소로 인해 심각한 문제가 됩니다.ASML은 70 nm 두께의 폴리실리콘 페리클 막을 개발하여 82%의 EUV 전달을 가능하게 했습니다.그러나, 예상 EUV 전력 [229]레벨에서 살아남은 막은 절반 미만이었습니다.SiNx 페리클막도 82W 상당 EUV 전원 레벨에서 [230]고장났습니다.목표치 250W에서, 펠리클은 알루미늄의 녹는점을 훨씬 [231]넘는 섭씨 686도에 이를 것으로 예상됩니다.대체 재료는 기계적 및 열적 안정성을 유지할 뿐만 아니라 충분한 투과가 가능해야 합니다.그러나 흑연, 그래핀 또는 다른 탄소 나노 물질(나노시트, 나노튜브)은 전자의 방출로[232] 인해 EUV에 의해 손상되며 EUV [233]스캐너에 도입될 것으로 예상되는 수소 세척 플라즈마에 너무 쉽게 부식된다.수소 플라즈마도 실리콘을 부식시킬 수 있다.[234][235]코팅은 수소 저항을 개선하는 데 도움이 되지만, 이는 전달 및/또는 방사율을 감소시키고 기계적 안정성(예: 팽창)[236]에도 영향을 미칠 수 있습니다.
펠리클의 주름은 고르지 않은 흡수로 인해 CD 불균형을 일으킬 수 있습니다. 이는 작은 주름과 보다 일관된 조명(예: 낮은 동공 채우기)[237]에서 더 심합니다.
페리클이 없을 경우 결함 [238]검사를 위해 특별히 준비된 웨이퍼를 사용하여 실제 제품 웨이퍼가 노출되기 전에 EUV 마스크 청결도를 점검해야 합니다.이러한 웨이퍼는 인쇄 후 더러운 마스크를 나타내는 반복 결함에 대해 검사됩니다. 마스크가 발견되면 마스크를 청소하고 다른 검사 웨이퍼 세트를 노출하여 마스크가 깨끗해질 때까지 흐름을 반복합니다.해당 제품 웨이퍼는 모두 재작업해야 합니다.
TSMC는 2019년부터 자체 펠리클을 제한적으로 사용하기 시작해 이후 [239]계속 확대되고 있다고 보고했으며,[240] 삼성은 2022년 펠리클 도입을 계획하고 있다.
수소 불룩 결함
위에서 설명한 바와 같이 오염 제거와 관련하여 최근 EUV 시스템에서 사용되는 수소는 EUV 마스크 층에 침투할 수 있다.일단 갇히면 불룩한 결함이 발생한다.[212]이것들은 본질적으로 수소 환경에서 충분한 수의 EUV 마스크 노출 후에 발생하는 블리스터 결함이다.
스루풋 스케일링 제한
EUV 확률적 문제
EUV 리소그래피는 확률적 [241][242]효과에 특히 민감하다.EUV에 의해 인쇄된 다수의 기능에서는 압도적으로 많은 부분이 해결되었지만 일부 기능에서는 홀이나 브리징 라인이 누락되는 등 인쇄에 완전히 실패하는 경우가 있습니다.이러한 효과의 중요한 기여로 알려진 것은 [243]인쇄에 사용된 선량이다.이는 아래에서 자세히 설명해야 할 샷 소음과 관련이 있다.도착 광자 번호의 확률적 변화로 인해 인쇄하도록 지정된 일부 영역은 실제로 인쇄 임계값에 도달하지 못하고 노출되지 않은 결함 영역을 남깁니다.레지스트층의 하부는 상부의 [244]흡수로 인해 노출이 잘 되지 않습니다.일부 영역은 과도하게 노출되어 과도한 레지스트 손실 또는 가교로 이어질 수 있습니다.확률적 고장 확률은 피쳐 크기가 감소함에 따라 기하급수적으로 증가하며, 동일한 피쳐 크기의 경우 피쳐 간의 거리가 증가하면 [243][245]확률도 크게 높아집니다.아크와 [246]쇼트 가능성이 있기 때문에, 어긋난 라인 컷은 큰 문제가 됩니다.항복은 1e-12 [243]미만으로 확률적 고장을 검출해야 한다.
이미지가 넓은 영역[247] 패턴 또는 큰 동공 [248][249]채우기보다 디포커스 패턴의 다른 패턴의 광자로 구성되어 있는 경우 확률적 결점의 경향은 더 심각하다.
동일한 모집단에 대해 여러 고장 모드가 존재할 수 있습니다.예를 들어 트렌치 가교 외에 트렌치를 분리하는 선이 끊어질 [243]수 있습니다.이것은 2차 [179][250]전자로부터의 확률적 저항 손실에 [241]기인할 수 있다.2차 전자 수의 무작위성은 EUV 레지스트 영상에서 [184]확률적 거동의 원천이다.
일부 패턴은 [251]모서리 사이에 끼이기 때문에 확률적 결함에 더 취약합니다.
확률적으로 노출이 적은 결점 영역과 과다 노출된 결점 영역의 공존은 저선량과 고선량 패턴화 [252][253]절벽 사이의 특정 식각 후 결점 수준에서 선량 윈도우의 손실을 초래한다.이것에 의해, 파장이 짧은 것에 의한 분해능의 메리트가 없어진다.
레지스트 언더레이어도 중요한 [243]역할을 합니다.이는 언더레이어에 [175]의해 생성된 2차 전자 때문일 수 있습니다.2차 전자는 노출된 [179][254]가장자리에서 10nm 이상의 저항을 제거할 수 있습니다.
불량 수준은 약 1K2/[255]mm입니다.삼성은 2020년 5nm 레이아웃이 공정 결함 위험이 있다고 보고하고 자동 점검 및 [256]수정 작업을 시작했다.
광자 샷 노이즈는 선량 의존적 블러(가우스로 [257]모델링됨)의 존재를 통해 확률적 결함에 연결될 수 있다.
또한 광자 샷 노이즈는 확률적 에지 배치 [258][259]오류를 유발하며, 이는 [260]1nm를 초과할 수 있다.
광자 샷 노이즈는 화학적으로 증폭된 저항의 2차 전자 또는 산과 같은 요인을 흐리게 함으로써 어느 정도 제거되지만, 너무 많이 흐리게 되면 [261]가장자리에서의 영상 대비도 감소합니다.흐릿한 부분이 피쳐 크기보다 작기 때문에 피쳐의 전체 밀폐 영역은 여전히 [262]변동할 수 있습니다.일반적으로 사용되는 선량에서 낮은 광자 밀도와 더 작은 [263]픽셀로 표현되는 더 작은 특징 크기 때문에 EUV는 DUV 파장보다 더 나쁘다.
흐릿함을 고려하더라도 화학종의 최종 분포는 여전히 확률적이다.높은 선량은 확률성을 감소시키지만, 확률 변동의 [264]범위를 확산시킬 수 있는 더 큰 흐림을 초래한다.
확률적 문제를 다루기 위한 또 다른 접근법은 방향 식각을 사용하여 측벽 가장자리를 [265]매끄럽게 하는 것이다.
향후 EUV 리소그래피의 해상도는 처리량 유지, 즉 EUV 툴에 의해 하루에 처리되는 웨이퍼의 수라는 과제에 직면해 있습니다.이러한 과제는 작은 필드, 추가 미러 및 샷 노이즈에서 발생합니다.throughput을 유지하기 위해서는 Intermediate Focus(IF; 중간 초점)에서의 파워를 지속적으로 높일 필요가 있습니다.
광자의 무작위 도착 및 흡수 시간으로 인한 자연 포아송 분포에서는 최소 몇 % 3 시그마의 예상 자연 선량([266][267]광자 수) 변동이 있어 노출 과정이 확률적 변동에 취약하다.선량 변동은 특징 가장자리 위치의 변동으로 이어져 사실상 흐릿한 성분이 된다.회절에 의해 발생하는 하드 분해능 한계와는 달리 샷 노이즈는 보다 부드러운 한계를 부과하며, 주요 가이드라인은 라인 [268]폭의 8%(3s)의 ITRS 라인 폭 거칠기(LWR) 사양입니다.선량을 증가시키면 샷 [269]노이즈가 감소하지만, 더 높은 소스 전력도 필요합니다.
10 nm 폭, 10 nm 롱 어시스트 특징 영역은 목표 비인쇄 선량이 15 mJ2/cm일 때 10 nm이며 흡수율이 10%인 100개 이상의 광자에 의해 정의되며, 이는2 6 ~ 24 mJ/cm의 확률적 선량 범위에 해당하는 59%의 6s 노이즈를 발생시킨다.
2017년 인텔의 연구에 따르면 (Airy 디스크는 가우스 단위로 근사할 수 있는) 반절연 비아의 경우 CD의 선량에 대한 감도가 특히 [270]강하여 선량의 감소가 비아 인쇄 실패로 이어질 수 있다.
샷 노이즈와 EUV 방출 전자의 두 가지 문제는 1) 샷 노이즈를 허용 가능한 수준으로 줄일 수 있을 만큼 높은 선량을 유지하는 동시에 2) EUV 방출 광전자 및 2차 전자의 레지스트 노출 프로세스 기여 증가로 인한 너무 높은 선량을 피하는 두 가지 제약 요인을 지적한다.해상도를 제한합니다.분해능 영향과는 별도로, 높은 선량은 또한 배출 가스를[271] 증가시키고 처리량을 제한하며, 매우 높은[272] 선량 수준에서 가교된다.화학적으로 증폭된 저항성의 경우, 높은 선량 노출은 또한 산 [273]발생기 분해로 인한 선단 거칠기를 증가시킨다.
동일한 용량에서 더 높은 흡수를 하더라도 주로 얇은 [244]저항체에 적용되기 때문에 EUV는 ArF(193 nm) 파장보다 더 큰 샷 노이즈를 우려한다.
파장 | 레지스트 타입 | 흡광도 | 두께 | 흡수. | 목표 투여량 | 흡수 광자 선량 | 양자 수율 | 산2/nm | 흐릿하다 | 산/블러2 |
---|---|---|---|---|---|---|---|---|---|---|
ArF(193 nm) | 차 | 1.2/μm[275] | 0.08μm | 9% | 30 mJ/cm2[276] | 27 광자/nm2 | 0.33 | 9 | 10 nm | 900 |
EUV(13.5 nm) | 차 | 5/μm[277] | 0.05μm | 22% | 30 mJ/cm2[278] | 4.5 광자/nm2 | 2 | 9 | 5 nm | 225 |
EUV(13.5 nm) | 금속 산화물 | 20/μm[277] | 0.02μm | 33% | 30 mJ/cm2[278] | 7 광자/nm2 | 없음 | 없음 | 3 nm | 없음 |
위에서 볼 수 있듯이 목표 입사 선량 수준에서 ArF 내성의 ArF 광자에 비해 EUV 내성에 흡수되는 EUV 광자는 상당히 적다.레지스트의 투명성이 높음에도 불구하고 입사 광자속은 단위 면적당 동일한 에너지 선량에 대해 약 14배(193/13.5) 크다.양자 수율은 ArF 파장과 EUV 파장 모두에서 화학적으로 증폭된 저항과 동등한 저항 응답을 만들지만, ArF 저항은 더 큰 흐림을 허용하여 추가적인 [274]평활화를 가능하게 합니다.레지스트 두께는 투명성뿐만 아니라 레지스트[279] 붕괴 및 레지스트[280] 스트립 고려 사항으로 제한됩니다.
동공 채우기 비율
반파장 이하 피치를 개구수로 나눈 경우에는 쌍극자 조명이 필요하다.이 조명은 동공 가장자리에 있는 잎 모양의 영역만 채웁니다.그러나 EUV [281]마스크의 3D 효과로 인해 피치가 작을 경우 이 잎 모양에서 더 작은 부분이 필요합니다.동공의 20% 이하에서는 처리량과 용량 안정성이 [43]저하되기 시작합니다.
다중 패턴 처리와 함께 사용
EUV는 0.33 [282][283]NA의 약 34 nm 피치에서 이중 패턴을 사용할 것으로 예상된다.이 해상도는 [284][285]D램의 '1Y'에 해당한다.2020년에 ASML은 5 nm M0 레이어(30 nm 최소 피치)[286]에 이중 패턴이 필요하다고 보고했습니다.2018년 H2에서 TSMC는 5nm EUV 체계가 여전히 멀티패터링을 [287]사용한다는 것을 확인했으며, 또한 광범위한 DUV 멀티패터링을 사용한 7nm 노드에서 광범위한 EUV를 [288]사용한 5nm 노드로 마스크 수가 줄지 않았음을 나타냈다.EDA 벤더는 멀티패터닝 플로우의 [289][290]계속적인 사용도 나타내고 있습니다.삼성은 EUV 단일패터닝으로 [291]자체 7nm 공정을 도입했지만 광자샷 노이즈가 심해 라인 거칠기가 과다해 높은 선량이 필요해 처리량이 줄었다.[266]TSMC의 5nm 노드는 보다 엄격한 설계 [292]규칙을 사용합니다.삼성은 치수가 작을수록 더 심한 샷 [266]노이즈가 발생한다고 밝혔다.
인텔의 20 nm 하프피치에서의 상보적인 리소그래피 방식에서는 EUV는 193 nm의 라인프린트 [293]노광 후 두 번째 라인컷 노광에서만 사용됩니다.
동일한 레이어에서 두 개 이상의 패턴(예: 다른 피치 또는 너비)이 서로 다른 최적화된 선원 동공 [294][295][296][297]모양을 사용해야 하는 경우에도 다중 노출이 예상된다.예를 들어 64nm 수직 피치의 엇갈린 막대 어레이를 고려할 때 수평 피치를 64nm에서 90nm로 변경하면 최적화된 조도가 [44]크게 변경됩니다.라인 공간 격자와 팁 투 팁 격자에만 기반한 소스 마스크 최적화는 논리 패턴의 모든 부분(예: 한쪽에 [294][298]갭이 있는 조밀한 트렌치)에 대한 개선을 수반하지 않는다.
2020년, ASML은 3nm 노드의 경우, 40nm 이하의 중앙 간 접촉/경유 간격은 일부 접촉/경유 [299]배열에 대해 이중 또는 삼중 패턴이 필요하다고 보고했다.
24-36 nm 금속 피치의 경우 EUV를 (2차) 절단 노출로 사용하는 것이 금속 [300][294]층에 대한 완전한 단일 노출로 사용하는 것보다 훨씬 더 넓은 프로세스 윈도우를 갖는 것으로 확인되었습니다.
또한 동일한 마스크의 여러 노출이 페리클 없이 결함 관리를 위해 예상되므로 여러 패턴 생성과 [238]유사하게 생산성이 제한됩니다.
SALELE(self-aligned litho-etch)은 하이브리드 SADP/LE 기술이며, 구현은[301] 7nm에서 시작되어 [302]5nm에서 계속 사용됩니다.SALELE(self-aligned ritho-etch)은 5nm 노드(일부 레이어가 28nm [302]피치)부터 시작하여 EUV에서 사용되는 일반적인 이중 패턴 형태가 되었습니다.
단일 패턴 처리 확장: 아나모픽 하이 NA
더 높은 수치 개구(NA) 도구를 사용하면 확장된 세대의 단일 패턴으로 돌아갈 수 있습니다.NA가 0.45일 경우 몇 %[303]의 재튜닝이 필요할 수 있습니다.소자율을 증가시키면 이러한 재튜닝을 피할 수 있지만, 감소된 필드 크기는 2개의 마스크 [305]노출의 필드 스티치를 필요로 하는 다코어 수십억 개의 트랜지스터 14 nm Xeon [304]칩과 같은 큰 패턴(26 mm × 33 mm 필드당 1개의 다이)에 심각한 영향을 미칩니다.
2015년 ASML은 NA가 0.55인 아나모픽 차세대 EUV 스캐너의 세부사항을 공개했다.분자는 한 방향으로만 4배에서 8배까지 증가합니다([306]입사 평면).그러나 0.55 NA는 몰입 [307]리소그래피보다 초점 깊이가 훨씬 작습니다.또한 Anamorphic 0.52 NA 툴은 5nm 노드의 단일 노출 및 다중 패턴 [308]절단에 대해 CD 및 배치 변동이 너무 많은 것으로 밝혀졌다.
특히 193nm 침지 리소그래피를 사용한 다중 패턴 노출과 비교하여 NA 증가에 따른 초점[309] 깊이 감소도 [310][305]관심사다.
파장 | 굴절률 | NA | DOF(정규화)[309] |
---|---|---|---|
193 nm | 1.44 | 1.35 | 1 |
13.3~13.7 nm | 1 | 0.33 | 1.17 |
13.3~13.7 nm | 1 | 0.55 | 0.40 |
또한 고NA EUV 툴은 특정 [305][311][312][313][314][315]패턴의 이미징 오류를 일으킬 수 있는 흐림 현상을 겪습니다.
최초의 하이NA 툴은 이르면 [305][316]2025년까지 인텔에 도입될 예정입니다.
EUV 파장 초과
훨씬 더 짧은 파장(약 6.7 nm)은 EUV를 벗어나며 종종 BEUV(극자외선 [317]너머)라고 불립니다.현재의 기술로는 충분한 선량을 보장하지 않으면 [318]BEUV 파장은 더 나쁜 샷 노이즈 효과를 가져올 수 있다.
레퍼런스
- ^ G. Yeap et al., 36.7, IEDM 2019.
- ^ O. Adan과 K.후친스, 검사님SPIE 10959, 1095904 (2019)
- ^ J. C. Liu et al., IEDM 2020.
- ^ S-Y. Wu et al., IEDM 2016.
- ^ Samsung 5nm 업데이트
- ^ "Archived copy" (PDF). Archived from the original (PDF) on 2017-06-02. Retrieved 2019-06-23.
{{cite web}}
: CS1 maint: 제목으로 아카이브된 복사(링크) - ^ Montcalm, C. (March 10, 1998). "Multilayer reflective coatings for extreme-ultraviolet lithography". OSTI 310916.
{{cite journal}}
:Cite 저널 요구 사항journal=
(도움말) - ^ "Next EUV Issue: Mask 3D Effects". April 25, 2018.
- ^ Krome, Thorsten; Schmidt, Jonas; Nesládek, Pavel (2018). "EUV capping layer integrity". In Takehisa, Kiwamu (ed.). Photomask Japan 2018: XXV Symposium on Photomask and Next-Generation Lithography Mask Technology. p. 8. doi:10.1117/12.2324670. ISBN 9781510622012. S2CID 139227547.
- ^ "Optimized capping layers for EUV multilayers".
- ^ "AGC to Further Expand its Supply System for EUVL Mask Blanks | News | AGC". AGC.
- ^ "Semiconductor Engineering - EUV Mask Blank Battle Brewing". November 15, 2018.
- ^ "ULTRA Semiconductor Laser Mask Writer Heidelberg Instruments". www.himt.de.
- ^ "MeRiT neXT". www.zeiss.com.
- ^ "Centura Tetra EUV Advanced Reticle Etch Applied Materials". www.appliedmaterials.com.
- ^ Tomie, Toshihisa (2012-05-21). "Tin laser-produced plasma as the light source for extreme ultraviolet lithography high-volume manufacturing: history, ideal plasma, present status, and prospects". Journal of Micro/Nanolithography, MEMS, and MOEMS. 11 (2): 021109–1. doi:10.1117/1.JMM.11.2.021109. ISSN 1932-5150.
- ^ "EUV collector cleaning" (PDF).
- ^ H. 코모리 외, 검사 SPIE 5374, 페이지 839–846 (2004).
- ^ B. A. M. Hansson 외, Proc. SPIE 4688, 페이지 102–109 (2002).
- ^ S. N. Srivastava et al., J. Appl. Phys.' 102, 023301 (2007).
- ^ "StackPath".
- ^ "H. S. Kim, Future of Memory Devices and EUV Lithography, 2009 EUV Symposium" (PDF). Archived from the original (PDF) on 2015-07-10. Retrieved 2012-10-25.
- ^ H. 미조구치, 「레이저 생산 플라즈마 EUV 광원 기가포톤 갱신」, EUVL 소스 워크숍, 2008년 5월 12일.
- ^ "Cookies op ed.nl ed.nl".
- ^ ASML 2020 연차 보고서, 페이지 68.
- ^ "Gigaphoton" (PDF).
- ^ "Cymer SPIE 2018" (PDF).
- ^ "Zeiss 2018 EUVL Workshop update" (PDF).
- ^ "SPIE 2007 paper" (PDF). Archived from the original (PDF) on 2017-08-12. Retrieved 2018-07-28.
- ^ "ASML, 2016 EUVL Workshop, p.14" (PDF).
- ^ Y. Wang과 Y.류, 검사님SPIE 9283, 928314(2014).
- ^ a b c "R. Capelli et al., Proc. SPIE 9231, 923109 (2014)" (PDF).
- ^ "M. van den Brink et al., Proc. SPIE 2726 (1996)" (PDF). Archived from the original (PDF) on 2017-08-09. Retrieved 2018-07-17.
- ^ Schmoeller, Thomas; Klimpel, T; Kim, I; F. Lorusso, G; Myers, A; Jonckheere, Rik; Goethals, Anne-Marie; Ronse, K (14 March 2008). "EUV pattern shift compensation strategies - art. no. 69211B". Proceedings of SPIE. 6921. doi:10.1117/12.772640. S2CID 121926142 – via ResearchGate.
- ^ A. N. Broers, IEEE 트랜스Elec. Dev. 28, 1268(1981년)
- ^ Tao, Y.; et al. (2005). "Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source". Appl. Phys. Lett. 86 (20): 201501. Bibcode:2005ApPhL..86t1501T. doi:10.1063/1.1931825.
- ^ "Abstract 107 Last Page". www.nifs.ac.jp.
- ^ I. Fomenkov 외, Adv. Opt.기술. 6, 173 (2017)
- ^ I.V. 포멘코프, 검사님SPIE 10957, 1095719 (2019)
- ^ a b "Rutgers University Department of Physics and Astronomy" (PDF). www.physics.rutgers.edu. Archived from the original (PDF) on 2016-12-20. Retrieved 2016-12-14.
- ^ a b c "Metal outgassing study at EIDEC" (PDF).
- ^ R. Rockitski et al., Proc.SPIE 7640, 76401Q(2010).
- ^ a b c M. van de Kerkhof et al., Proc.SPIE 10143, 101430D(2017).
- ^ a b Y. Chen et al., J.Vac.과학, 기술.B35, 06G601 (2017)
- ^ a b c d e "H. Mizoguchi et al., 2017 EUV-FEL Workshop, p. 4" (PDF).
- ^ Paetzel, R.; et al. (2003). Yen, Anthony (ed.). "Excimer lasers for superhigh NA 193-nm lithography". Proc. SPIE. Optical Microlithography XVI. 5040: 1665. Bibcode:2003SPIE.5040.1665P. doi:10.1117/12.485344. S2CID 18953813.
- ^ Harilal, S. S.; et al. (2006). "Spectral control of emissions from tin doped targets for extreme ultraviolet lithography". J. Phys. D. 39 (3): 484–487. Bibcode:2006JPhD...39..484H. doi:10.1088/0022-3727/39/3/010. S2CID 34621555.
- ^ T. 아사야마 외, 재판관SPIE 볼륨8683, 86831G (2013)
- ^ "ASML update Nov. 2013, Dublin" (PDF).
- ^ L. Peters, "Double Patterning Leads Race for 32 nm", Semiconductor International, 2007년 10월 18일.
- ^ M. 스가와라 외, J. Vac. Sci 기술. B 21, 2701 (2003)
- ^ EUV 리소그래피에서의 섀도우잉이란?
- ^ a b c "Proceedings" (PDF). doi:10.1117/12.484986. S2CID 137035695.
{{cite journal}}
:Cite 저널 요구 사항journal=
(도움말) - ^ G. 매킨타이어 외, 판사SPIE vol. 7271, 72711C(2009).
- ^ T. 마지막 외, 검사.SPIE 9985, 99850W (2016)
- ^ a b T. 마지막 외, 검사.SPIE vol. 10143, 1014311 (2017).
- ^ W. 가오 외, 검사님SPIE vol. 10143, 101430I (2017).
- ^ "The Uncertain Phase Shifts of EUV Masks".
- ^ 다이폴 조명 EUV 마스크에 의한 패턴 이동
- ^ M. Burkhardt et al., Proc.SPIE 10957, 1095710 (2019)
- ^ A. Erdmann, P. Evanschitzky, 총통, 검사SPIE 7271, 72711E(2009).
- ^ EUV 마스크의 이중 회절 모형
- ^ EUV 마스크의 이중 회절:대칭의 환상을 꿰뚫어 보다
- ^ "EUV Mask Flatness Requirements" (PDF). Archived from the original (PDF) on 2015-06-26. Retrieved 2015-06-26.
- ^ T. Schmoeller et al., Proc.SPIE vol. 6921, 69211B(2008)
- ^ P. Liu et al.SPIE 볼륨8679, 86790W (2013)
- ^ a b M. 스가와라 외 판사SPIE 9048, 90480V(2014).
- ^ a b X. 첸 외, 검사SPIE 10143, 101431F(2017).
- ^ "ASML: Products - TWINSCAN NXE:3400B". asml.com. Archived from the original on 2018-12-15. Retrieved 2017-07-02.
- ^ a b X. 류 외, 검사SPIE vol. 9048, 90480Q(2014).
- ^ O. Wood et al., Proc.SPIE 10450, 1045008 (2017)
- ^ S. 요시타케 외, EUV 마스크 평탄도 요건: E-빔 마스크 라이터 공급업체 관점.
- ^ H. N. 채프먼과 K. A.누겐트, 검사님SPIE 3767, 225(1999)
- ^ H. 코마츠다, 검사SPIE 3997, 765(2000).
- ^ 질문. 메이 등, 검사.SPIE 8679, 867923 (2013)
- ^ D. Hellweg 등, Proc.SPIE 7969, 79690H (2011)
- ^ K. 후커 외 판사님SPIE 10446, 1044604 (2017)
- ^ A. Garetto et al., J. Micro/Nanolith.MEMS MOEMS 13, 043006 (2014).
- ^ a b T-S. 엄 외, 검사님SPIE 8679, 86791J (2013)
- ^ R. 카펠리 외 판사SPIE 10957, 109570X(2019)
- ^ a b J. Fu et al., Proc.SPIE 11323, 113232H (2020)
- ^ X선광학센터에서의 차세대 EUV 리소그래피 준비
- ^ S. Koo et al., Proc.SPIE 7969, 79691N (2011)
- ^ 미국 특허출원 20070030948.
- ^ M. F. Bal et al., Appl.옵션 42, 2301 (2003)
- ^ D. M. 윌리엄슨 형사입니다SPIE 3482, 369(1998).
- ^ a b "Carl Zeiss 2018" (PDF).
- ^ a b M. Lim et al., Proc.SPIE 10583, 105830X (2018)
- ^ A. V. Pret 등, Proc.SPIE 10809, 108090A(2018)
- ^ L. van look et al., proc.SPIE 10809, 108090M (2018)
- ^ R-H. Kim et al., Proc.SPIE 9776, 97761R (2016)
- ^ a b c E. van setten et al., Proc.SPIE 9661, 96610G(2015).
- ^ T.E. Brist와 G.E. Bailey, Proc.SPIE 5042, 153(2003)
- ^ G. 장 외 검사SPIE 5040, 45(2003)
- ^ a b EUV 리소그래피 시스템의 슬릿을 가로지르는 수평선, 수직선 및 경사선
- ^ K. Lee 외, J. Microlith/Nanolith.MEMS MOEMS 18, 040501 (2019)
- ^ K. A. 골드버그 외, 판사SPIE 5900, 59000G(2005)
- ^ Y. 류와 Y.Li, Opt. Eng. 55, 095108 (2016)
- ^ a b R., Saathof (1 December 2018). "Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision".
{{cite journal}}
:Cite 저널 요구 사항journal=
(도움말) - ^ T.S. 조타와 R.A.칩맨, 형사님SPIE 9776, 977617 (2016)
- ^ a b c "Mentor Graphics Director Details Challenges for Edge Placement Control in 2020". nikonereview.com.
- ^ M. Habets et al., Proc.SPIE 9776, 97762D (2016).
- ^ M. Bayraktar et al., Opt. Exp. 22, 30623 (2014)
- ^ EUV 리소그래피 시스템의 이상적 이미지 생성
- ^ George, Simi A.; Nauleau, Patrick; Rekawa, Senajith; Gullikson, Eric; Kemp, Charles D. (February 23, 2009). Schellenberg, Frank M; La Fontaine, Bruno M (eds.). "Out-of-band exposure characterization with the SEMATECH Berkeley 0.3-NA microfield exposure tool". Journal of Micronano Lithography, MEMS and MOEMS. Alternative Lithographic Technologies. 7271: 72710X. Bibcode:2009SPIE.7271E..0XG. doi:10.1117/12.814429. OSTI 960237. S2CID 55241073.
- ^ a b c d "Measurement and characterization of EUV mask performance at high-NA EECS at UC Berkeley". www2.eecs.berkeley.edu.
- ^ "Carl Zeiss SMT GMbH, Semicon Europa, Nov. 16 2018" (PDF).
- ^ a b "Multilayer Reflectivity". henke.lbl.gov.
- ^ 나카지마 외 검사SPIE 7379, 73790P(2009).
- ^ a b N. 다비도바 외, 검사SPIE 8166, 816624 (2011)
- ^ G. J. Stagaman et al., Proc.SPIE 2726, 146(1996)
- ^ M.F. 레이브트 외 판사님SPIE 5250, 99(2004)
- ^ F. Scholze et al., Proc.SPIE 6151, 615137 (2006)
- ^ Yakshin, A. E.; Kozhevnikov, I. V.; Zoethout, E.; Louis, E.; Bijkerk, F. (2010). "[PDF] Properties of broadband depth-graded multilayer mirrors for EUV optical systems. Semantic Scholar". Optics Express. 18 (7): 6957–71. doi:10.1364/OE.18.006957. PMID 20389715. S2CID 16163302.
- ^ EUV 리소그래피의 색채 흐림
- ^ "The Very Different Wavelengths of EUV Lithography". www.linkedin.com.
- ^ M. 스가와라 외, J. Micro/Nanolith.MEMS MOEMS 2, 27-33 (2003)
- ^ J. 허 등, 선택 4621년 11월 25일(2017년)[영구 데드링크]
- ^ C. S. Choi et al., Proc.SPIE 9235, 92351R(2014).
- ^ 광학 리소그래피의 기본 원리 Chris A.맥, 37페이지
- ^ C. A. 맥, 마이크로리스월드, 9-4, 25(2000)
- ^ J. S. Petersen et al., Proc.SPIE 3546, 288(1998).
- ^ "Archived copy" (PDF). Archived from the original (PDF) on 2017-02-05. Retrieved 2017-02-05.
{{cite web}}
: CS1 maint: 제목으로 아카이브된 복사(링크) - ^ 극자외선 리소그래피용 얇은 하프톤 위상 시프트 마스크 스택 인환, 이상술, 이재욱, 장영정2, 구선영, 임창문, 안진호
- ^ L. Yuan et al., Proc.SPIE 8322, 832229(2012).
- ^ a b E. van setten et al., 국제EUV 리소그래피, 2014년.
- ^ V. M. 블랑코 카발로 외, 검사SPIE 10143, 1014318 (2017)
- ^ E. van setten et al., Proc.SPIE 9231, 923108(2014).
- ^ F. Jiang et al., 검사SPIE vol. 9422, 94220U (2015).
- ^ a b c d I. Mochi et al., Pro.SPIE 9776, 97761S(2015).
- ^ J. G. 가로팔로 외 판사SPIE 2440, 302(1995).
- ^ "Understanding EUV Shot Noise".
- ^ D. Civay et al., Pro.SPIE 9048, 90483D(2014).
- ^ "Stochastic Printing of Sub-Resolution Assist Features". www.linkedin.com.
- ^ A-Y. Je et al., Proc.SPIE 7823, 78230Z(2010).
- ^ T. 후인바오 외, 검사SPIE 9781, 978102 (2016)
- ^ V. Philipsen et al., Pro.SPIE 9235, 92350J(2014).
- ^ a b W. Giljins et al., Proc.SPIE 10143, 1014314 (2017)
- ^ a b Y-G 왕 외 검사SPIE 10143, 1014320 (2017)
- ^ 미국 특허 9715170.
- ^ S. 나가하라 등SPIE 7640, 76401H(2010).
- ^ L. Pang 등, Proc.SPIE 7520, 75200X(2009)
- ^ Hsu, Stephen D.; Liu, Jingjing (1 January 2017). "Challenges of anamorphic high-NA lithography and mask making". Advanced Optical Technologies. 6 (3–4): 293. Bibcode:2017AdOT....6..293H. doi:10.1515/aot-2017-0024. S2CID 67056068.
- ^ Z. Zhang et al., Optics Express, vol. 29, 5448 (2021년 2월 15일)
- ^ "IMEC EUVL 2018 Workshop" (PDF).
- ^ C. Krautschik et al., Proc.SPIE 4343, 392(2001)
- ^ A. Erdmann, P. Evanschitzky 및 T.푸너, 검사님SPIE 7271, 72711E(2009).
- ^ A. Erdmann et al., J. Micro/Nanolith.MEMS MOEMS 15, 021205 (2016)
- ^ M. Burkhardt와 A.라구나단 형사님SPIE 9422, 94220X(2015).
- ^ Z. Zhu et al., Proc.SPIE 5037, 494 (2003)
- ^ V. Philipsen et al., Pro.SPIE 10143, 1014310 (2017)
- ^ Naulleau, Patrick P.; Rammeloo, Clemens; Cain, Jason P.; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; La Fontaine, Bruno; Pawloski, Adam R.; Larson, Carl; Wallraff, Greg (2006). Lercel, Michael J (ed.). "Investigation of the Current Resolution Limits of Advanced Extreme Ultraviolet (EUV) Resists". Emerging Lithographic Technologies X. 6151: 289. Bibcode:2006SPIE.6151..289N. CiteSeerX 10.1.1.215.7131. doi:10.1117/12.657005. S2CID 97250792.
- ^ A. Erdmann et al., J. Micro/Nanolith.MEMS MOEMS 15(2), 021205(2016).
- ^ EUV 리소그래피의 저동공 필의 필요성
- ^ EUV 리소그래피의 저동공 필의 필요성
- ^ J. Finders et al., Proc.SPIE 9776, 97761P(2016).
- ^ D. 리오 외 연구위원SPIE 10809, 108090N (2018)
- ^ C-H. Chang et al., Proc.SPIE 5377, 902(2004)
- ^ T. Devoivre et al., MTDT 2002.
- ^ L. C. Choo et al., Proc.SPIE 볼륨4000, 1193 (2000).
- ^ J. Word와 K.사카지리입니다, 형사님SPIE 6156, 61561I (2006)
- ^ T. Winkler 외, Prod.SPIE 5754, 1169 (2004)
- ^ Y. 보로도프스키 외 판사SPIE 4754, 1 (2002)
- ^ S. Yu et al., Proc.SPIE 8679, 86791L(2013).
- ^ a b A. Erdmann et al., Proc.SPIE 10583, 1058312 (2018)
- ^ "Eigenmode analysis of EM fields in EUV masks" (PDF).
- ^ "Ultra-high efficiency EUV etched phase-shift mask" (PDF).
- ^ ". Torok et al., "Secondary Electrons in EUV Lithography", J. Photopol. Sci. and Tech., 26, 625 (2013)".
- ^ K. 이시이와 T.마쓰다, J. 애플Phys. 29, 2212(1990).
- ^ A. Thete et al., Proc.SPIE 9422, 94220A(2015).
- ^ "B. Sun thesis, p. 34" (PDF).
- ^ "S. Bhattarai, Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography, 2017, p. 100" (PDF). Archived from the original (PDF) on 2017-10-21. Retrieved 2018-09-16.
- ^ "O. Kostko, J. Ma and P. Naulleau (LBNL), "Measurement of electron blur," 2019 EUVL Workshop" (PDF).
- ^ "CNSE 2013 International Workshop on EUV Lithography" (PDF).
- ^ a b N. Felix et al., Proc.SPIE 9776, 97761O(2015).
- ^ J. Torok et al., J. Photopolymer Sci. & Tech, 27, 611 (2014).
- ^ 저에너지 전자, EUV 리소그래피 한계 설정
- ^ a b Y. Kandel et al., Proc.SPIE 10143, 101430B(2017).
- ^ a b c A. Narasimhan et al., Proc.SPIE 9422, 942208 (2015)
- ^ D. D. Simone et al., Proc.SPIE 10143, 101430R(2017).
- ^ EUV 저항체에서의 용량 중심 광전자 확산 시연
- ^ M. Kotera et al., "Resist의 광전자 궤적을 추적하는 극자외선 리소그래피 시뮬레이션", Jpn. J. Appl.물리 47, 4944 (2008)
- ^ a b EUV 리소그래피의 전자 확산 함수
- ^ a b 광자 샷 소음에 무작위 2차 전자 발생 추가:복합 EUV 확률 에지 거칠기
- ^ a b P. De Schepper et al., Proc.SPIE 9425, 942507 (2015)
- ^ A. Narasimhan et al., "EUV 노출 메커니즘:내부 들뜸과 전자 흐림", EUV 심포지엄 2016년 6월 16일, 페이지 11.
- ^ A. Raghunathan 및 J. G. Hartley, JVST B 31, 011605 (2013).
- ^ "EUV's Stochastic Valley of Death". www.linkedin.com.
- ^ a b c A. Thete et al., Phys.레트 목사님266803 (2017).
- ^ L. Wisehart et al., Pro.SPIE 9776, 97762O(2016).
- ^ "Electric Field, Flat Sheets of Charge". hyperphysics.phy-astr.gsu.edu.
- ^ M. Dapor, M. Ciappa, W.피크트너, J.마이크로/나노리스MEMS MOEMS 9, 023001 (2010)
- ^ a b Z. G. Song et al., J. Phys.D: 사과.Phys. 30, 1561(1997)
- ^ J. 홀렌스헤드와 L. 클레바노프, J. Vac. Sci & Tech. B 24, 페이지 118–130 (2006).
- ^ G. Denbeaux et al., 2007 유럽 마스크 및 리소그래피 회의
- ^ I. Pollentier et al., Proc.SPIE vol.7972, 797208 (2011).
- ^ G. Denbeaux, 2009년 국제.EUV 리소그래피 워크숍
- ^ J. Y. Park et al., J. Vac. Sci.기술자: B29, 041602 (2011).
- ^ a b c d "H atom based tin cleaning" (PDF).
- ^ a b T. Van de Ven et al., J. Appl.물리 123, 063301 (2018)
- ^ EUV 광원 RnD-ISAN/EUV Labs 및 ISEQ BV 오염 및 세척 컴퓨터 모델링
- ^ 예: 수소 아래 질화물의 탈질
- ^ "C. G. van de Walle and B. Tuttle, THEORY OF HYDROGEN INTERACTIONS WITH AMORPHOUS SILICON in Amorphous and Heterogeneous Silicon Thin Films — Fundamentals to Devices, edited by H. M. Branz, R. W. Collins, H. Okamoto, S. Guha, and B. Schropp, MRS Symposia Proceedings, Vol. 557 (MRS, Pittsburgh, Pennsylvania, 1999), p. 255" (PDF).
- ^ T. 타나베, Y. 야마니시, S.이모토, J 누클Mat. 191-194, 439(1992)
- ^ a b "D. T. Elg et al., J. Vac. Sci. Tech. A 34, 021305 (2016)" (PDF).
- ^ "Hydrogen-induced blistering in thin film multilayers" (PDF).
- ^ I-Y. 장 외, 검사님SPIE 9256, 92560I (2014)
- ^ "Hydrogen penetration of Ru and Pd/Ru" (PDF).
- ^ Pantisano, L; Schram, Tom; Li, Z; Lisoni, Judit; Pourtois, Geoffrey; De Gendt, Stefan; P. Brunco, D; Akheyar, A; Afanas'ev, V.V.; Shamuilia, Sheron; Stesmans, A (12 June 2006). "Ruthenium gate electrodes on SiO2 and HfO2: Sensitivity to hydrogen and oxygen ambients". Applied Physics Letters. 88 (24): 243514. Bibcode:2006ApPhL..88x3514P. doi:10.1063/1.2212288 – via ResearchGate.
- ^ "Hydrogen penetration of boron carbide".
- ^ M. Mayer, M. Balden, R.베리쉬, J. 누클Mat. 252, 55(1998).
- ^ a b 친위대 킴 외 검사SPIE 10143, 1014306 (2017)
- ^ "Screening of oxidation-resistance capping layers" (PDF).
- ^ B. Thedjoisworo et al., J. Vac. Sci.기술. A 30, 031303 (2012).
- ^ "Hydrogen plasma for photoresist stripping" (PDF).
- ^ "Metal Oxide Resist Outgassing" (PDF).
- ^ Thedjoisworo, Bayu; Cheung, David; Crist, Vince (2013). "Comparison of the effects of downstream H2- and O2-based plasmas on the removal of photoresist, silicon, and silicon nitride". Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 31 (2): 021206. Bibcode:2013JVSTB..31b1206T. doi:10.1116/1.4792254. ISSN 2166-2746.
- ^ "Getting up to speed with roadmap requirements for extreme-UV lithography". spie.org.
- ^ "Fast Simulation Methods for Non-Planar Phase and Multilayer Defects in DUV and EUV Photomasks for Lithography". berkeley.edu.
- ^ H. Yu et al., J. Vac. Sci.기술. A31, 021403 (2013).
- ^ S. 허 외, 검사. SPIE 7271 (2009년)
- ^ K. Seki et al., Proc.SPIE 9658, 96580G (2015)
- ^ A. Garetto et al., J. Micro/Nanolith.MEMS MOEMS 13, 043006 (2014).
- ^ a b Y. Chen et al., Proc.SPIE 10143, 101431S(2017).
- ^ R. Jonckheere와 L. S. Melvin III, 검사.SPIE 11517, 1151710 (2020)
- ^ M. Muller et al., Appl.물리. 제108권, 제263권 (2012년)
- ^ "EUVL activities in South Korea (including Samsung and SKHynix)" (PDF).
- ^ I-S. Kim et al., Proc.SPIE vol. 8322, 83222X(2012).
- ^ C. 졸데스키 외, 판사SPIE vol. 9048, 90481N(2014).
- ^ D. L. Goldfarb, 2015년 12월 BACUS 뉴스레터
- ^ "EUV Pellicle, Uptime And Resist Issues Continue".
- ^ A. 가오 외 J. 애플물리 114, 044313 (2013)
- ^ E. 갤러거 외, 판사님SPIE vol. 9635, 96350X (2015).
- ^ C. Ghica et al., Rom. Rep. in Phys., vol. 62, 329-340 (2010)
- ^ L. Juan et al., Chin.Phys. B, vol., 22, 105101 (2013)
- ^ I. Pollentier et al., Proc.SPIE vol. 10143, 101430L(2017).
- ^ I-S. Kim et al., Microel.제177,35호(2017년)
- ^ a b H. J. 레빈슨과 T. A. 브루너 형사입니다SPIE 10809, 1080903 (2018)
- ^ "TSMC Manufacturing Update: N6 to Match N7 Output by EOY, N5 Ramping Faster, Better Yields Than N7".
- ^ "Samsung to develop 'Pellicle', an essential EUV process product - ETNews".
- ^ a b P. De Bisschop, "EUV 리소그래피의 강력한 효과: 랜덤, 로컬 CD 변동 및 인쇄 실패", J. Micro/Nanolith.MEMS MOEMS 16(4), 041013(2017).
- ^ 14nm DRAM의 EUV 확률 시각화 예시
- ^ a b c d e P. De Bisschop과 E.헨드릭스 형사입니다SPIE 10583, 105831K (2018)
- ^ a b EUV 저항 흡수가 확률적 결함에 미치는 영향
- ^ EUV 확률학에서 싫어하는 CD-피치 조합
- ^ EUV 라인 컷의 확률적 변동
- ^ 높은 k1에서의 광자 분포 엔트로피
- ^ EUV 리소그래피에서 디포커스의 확률적 영향
- ^ EUV 리소그래피에서 디포커스의 확률적 영향
- ^ Fukuda, Hiroshi (February 23, 2019). "Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography". Journal of Micro/Nanolithography, MEMS, and MOEMS. 18 (1): 013503. Bibcode:2019JMM&M..18a3503F. doi:10.1117/1.JMM.18.1.013503.
- ^ EUV 리소그래피의 확률적 결함 핫스팟
- ^ L. Meli et al., J. Micro/Nanolith.MEMS MOEMS 18, 011006 (2019).
- ^ EUV의 죽음의 확률적 계곡 시각화
- ^ "S. Bhattarai, PhD Thesis,"Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography," U. Calif. Berkeley, 2017" (PDF).
- ^ S. Lariviére et al., Proc.SPIE 10583, 105830U (2018)
- ^ J. Kim et al., 검사.SPIE 11328, 113280I (2020)
- ^ "From Shot Noise to Stochastic Defects: Dose-Dependent Gaussian Blur in Lithography". www.linkedin.com.
- ^ 확률적 에지 배치 오류(EPE) 시연
- ^ EUV 특징 가장자리 거칠기의 확률적 기원
- ^ 고k1 EUV 리소그래피에서 광자 분포 엔트로피의 확률적 영향
- ^ 콘트라스트 감소와EUV 리소그래피의 광자 노이즈
- ^ EUV 접촉면적의 확률적 변화
- ^ DUV 대 EUV 광자 샷 노이즈
- ^ EUV 리소그래피 재검토: Blur 후 확률 분포
- ^ EUV 용량으로 작업하는 것의 과제
- ^ a b c H-W 김 외, 검사SPIE 7636, 76360Q(2010).
- ^ S-M. Kim et al., Proc.SPIE 9422, 94220M(2015).
- ^ B. Baylav, "간섭과 유사한 대규모 필드 리소그래피에서의 선 모서리 거칠기 감소(LER)", 박사 학위 논문, 페이지 37, 2014.
- ^ Z-Y. 판 외, 판사님SPIE 6924, 69241K(2008)
- ^ R. L. Bristol과 M. E. Krysak, Proc.SPIE 10143, 101430Z(2017).
- ^ "2013 Nissan Chemical Industries, 2013 International Workshop on EUV Lithography" (PDF).
- ^ T. G. 오야마 외, 애플Phys. Exp. 7, 036501 (2014)
- ^ T. 코자와, J. J. 애플 물리 51, 06FC01 (2012)
- ^ a b 포토레지스트의 해상도, LER 및 감도 제한
- ^ "Photoresist ABCs" (PDF).
- ^ "ASML: Products - TWINSCAN NXT:1980Di". www.asml.com. Archived from the original on 2018-12-05. Retrieved 2017-01-21.
- ^ a b "EUV Photoresists" (PDF).
- ^ a b "ASML 2016 EUVL Workshop" (PDF).
- ^ "New solutions for resist" (PDF). Archived from the original (PDF) on 2017-08-27. Retrieved 2017-07-14.
- ^ "Metal oxide resist strip" (PDF).[영구 데드링크]
- ^ J-H. Franke et al., Proc.SPIE 11517, 1151716 (2020)
- ^ W. Gap et al., Proc.SPIE 10583, 105830O (2018)
- ^ D. De Simone et al., Advanced Resotography 2019, 10957-21.
- ^ "Samsung 18 nm DRAM cell integration: QPT and higher uniformed capacitor high-k dielectrics". techinsights.com.
- ^ Roos, Gina (May 24, 2018). "Prices for DRAMs Continue to Climb while NAND Flash ASPs Drop".
- ^ R. 소차, 검사님.SPIE 11328, 113280V (2020)
- ^ "SemiWiki.com - Top 10 Highlights from the TSMC Open Innovation Platform Ecosystem Forum". www.semiwiki.com.
- ^ "DAC 2018 TSMC/Arm/Synopsys Breakfast". www.synopsys.com. Archived from the original on 2018-10-05. Retrieved 2018-10-05.
- ^ "Cadence Achieves EDA Certification for TSMC 5nm and 7nm+ FinFET Process Technologies to Facilitate Mobile and HPC Design Creation". October 2018.
- ^ "Synopsys Digital and Custom Design Platforms Certified on TSMC 5-nm EUV-based Process Technology". Design And Reuse.
- ^ "DAC 2018 Samsung/Synopsys Breakfast". www.synopsys.com. Archived from the original on 2018-10-05. Retrieved 2018-10-05.
- ^ Merritt, Rick. "TSMC Goes Photon to Cloud". EETimes.
- ^ "Intel presentation on Complementary Lithography at 2012 International Workshop on EUV Lithography" (PDF).
- ^ a b c "EUV was never going to be single patterning".
- ^ S. Hsu et al., Proc.SPIE 4691, 476(2002).
- ^ X. 류 외, 검사SPIE 9048, 90480Q(2014).
- ^ S-Y, 오 외, 검사님SPIE 4691, 1537(2002).
- ^ D. 리오 외, 검사SPIE 10809, 108090N (2018)
- ^ W. 가오 외, 검사님SPIE 11323, 113231L (2020)
- ^ R. K. Ali et al., Proc.SPIE 10583, 1058321 (2018)
- ^ Q. 린, 검시관.SPIE 11327, 113270X (2020)
- ^ a b 7nm 및 5nm 노드에 대한 SALELE 이중 패턴 생성
- ^ J. T. 노이만 외 판사SPIE 8522, 852211 (2012)
- ^ 인텔의 Xeon E5-2600 V4 칩은 456mm2 다이에 72억개의 비정상적인 트랜지스터를 탑재하고 있습니다.
- ^ a b c d High-NA EUV 사용 시 주의사항
- ^ J. van Schoot 등, 검사SPIE 9422, 94221F(2015).
- ^ B. J. Lin, JM3 1, 7~12 (2002)
- ^ E. R. 호슬러 외 판사SPIE vol. 9776, 977616 (2015).
- ^ a b B. J. Lin, J. Microlith, Microfab., Microsys. 1, 7-12 (2002)
- ^ B. J. 린, 마이크로엘렉Eng. 143, 91-101 (2015)
- ^ 폐색성 고NA EUV 영상화의 특이성
- ^ B. Bilski et al., Proc.SPIE 11177, 111770I(2019).
- ^ 중앙동공불명증이 있는 고NA EUV 시스템의 확률적 곁눈질 위험과 트레이드오프
- ^ High-NA EUV 리소그래피에서 중앙 외설화에 의해 금지된 피치
- ^ High-NA EUV 리소그래피에서의 외설로 인한 피치 비호환성
- ^ 인텔 로드맵
- ^ "ASML presentation at 2010 International Workshop on Extreme Ultraviolet Sources" (PDF).
- ^ Mojarad, Nassir; Gobrecht, Jens; Ekinci, Yasin (18 March 2015). "Beyond EUV lithography: a comparative study of efficient photoresists' performance". Scientific Reports. 5 (1): 9235. Bibcode:2015NatSR...5E9235M. doi:10.1038/srep09235. PMC 4363827. PMID 25783209.
추가 정보
- Banqiu Wu and Ajay Kumar (May 2009). Extreme Ultraviolet Lithography. McGraw-Hill Professional, Inc. ISBN 978-0-07-154918-9.
- Banqiu Wu and Ajay Kumar (2009). "Extreme Ultraviolet Lithography: Towards the Next Generation of Integrated Circuits". Optics & Photonics Focus. 7 (4).