트랜지스터 카운트
Transistor count반도체 장치 조작 |
---|
MOSFET 스케일링 (프로세스 노드) |
트랜지스터 카운트는 전자 장치의 트랜지스터 수입니다.IC 복잡성의 가장 일반적인 척도입니다(현대 마이크로프로세서의 트랜지스터 대부분은 여러 번 복제된 동일한 메모리 셀 회로로 구성된 캐시 메모리에 포함되어 있지만).MOS 트랜지스터 카운트의 증가 속도는 일반적으로[citation needed] 트랜지스터 카운트가 약 [1]2년마다 2배 증가한다는 Moore의 법칙을 따릅니다.
2022년 현재[update] 상용 마이크로프로세서 중 가장 큰 트랜지스터 수는 1140억 개이며, 이는 TSMC의 5nm 반도체 제조 [2][3]공정을 사용하여 제조된 애플의 ARM 기반 듀얼 다이 M1 Ultra 칩 시스템입니다.2022년 현재[update] 트랜지스터 수가 가장 많은 GPU는 TSMC의 N4 프로세스를 기반으로 구축된 Nvidia의 H100으로 총 800억 MOSFET입니다.2019년 기준으로[update] IC 칩 중 가장 많은 트랜지스터 수는 삼성의 1테라바이트 eUFS(3D 적층) V-낸드 플래시 메모리 칩으로 2조 개의 플로팅 게이트 MOSFET(트랜지스터당 [4]4비트)를 보유하고 있습니다.2020년 현재[update] IC 칩 중 트랜지스터 수가 가장 많은 것은 Cerebras의 Wafer Scale Engine 2라고 불리는 딥 러닝 엔진으로, 장치의 기능하지 않는 코어 주변을 라우팅하는 특수 설계를 사용합니다. TSMC의 7nm FinFET 공정을 [5][6][7][8][9]사용하여 제조된 MOSFET는 2조 6천억 개입니다.
연도 | 요소 | 이름. | MOSFET 수 (10억 단위) |
---|---|---|---|
2022 | 마이크로프로세서 (상업용) | M1 울트라 | 114 (표준 다이) |
2022 | GPU | Nvidia H100 | 80 |
2020 | DLP | 콜로서스 Mk2 GC200 | 59.4 |
2020 | 임의의 IC칩 | 웨이퍼 스케일 엔진 2 | 2600 (표준) |
2019 | 임의의 IC칩 | 삼성의 V낸드 칩 | 2000 (스택) |
수많은 집적 회로, 가장 높은 트랜지스터 카운트와 함께 2016[업데이트]의 슈퍼 컴퓨터는 Chinese-designed Sunway TaihuLight,에 대한 모든 CPUs/nodes"하드웨어의 처리에 400조 트랜지스터 일부" 이러한 방식은 D램에 대해 12quadrillion transis를 포함한 이들로 구성된 컴퓨터 시스템의 면에서요.torsd 이는 전체 [10]트랜지스터의 97%에 해당합니다.비교하자면, 2018년 현재[update] 쌀 한 톨에 비해 가장 작은 컴퓨터는 약 10만 개의 트랜지스터를 가지고 있다.초기의 실험용 솔리드 스테이트 컴퓨터는 130개의 트랜지스터를 가지고 있었지만 많은 양의 다이오드 논리를 사용했다.최초의 카본 나노튜브 컴퓨터는 178개의 트랜지스터를 가지고 있으며, 1비트 1명령 세트 컴퓨터이며, 그 이후의 컴퓨터는 16비트입니다(명령 세트는 32비트 RISC-V).
존재하는 트랜지스터의 총 개수로 환산하면 1960년부터 2018년까지 전 세계에서 총 13개의 6조(1.3×1022) 트랜지스터가 제조된 것으로 추정됩니다.
트랜지스터 카운트
마이크로프로세서
마이크로프로세서는 컴퓨터의 중앙처리장치 기능을 하나의 집적회로 상에 통합한다.디지털 데이터를 입력으로 받아들이고 메모리에 저장된 명령에 따라 처리하며 결과를 출력으로 제공하는 다목적 프로그래밍 가능 장치입니다.
1960년대 MOS 집적회로 기술의 발전은 최초의 마이크로프로세서의 [11]개발로 이어졌다.개럿 아이리서치가 1970년 미 해군의 F-14 톰캣 전투기를 위해 개발한 20비트 MP944는 설계자인 레이 홀트가 최초의 마이크로프로세서로 [12]간주하고 있다.그것은 6개의 MOS 칩으로 만들어진 멀티칩 마이크로프로세서였다.하지만, 그것은 1998년까지 해군에 의해 분류되었다.1971년에 출시된 4비트 인텔 4004는 최초의 싱글 칩 마이크로프로세서입니다.Federico Faggin이 1968년 Fairchild Semiconductor에서 개발한 MOSFET 설계 개선으로 MOS 실리콘 게이트 기술(SGT)이 가능해졌으며,[11] Federico Faggin은 MOS SGT 기술을 사용하여 인텔의 Marcian Hoff, Stanley Mazor, Masotoshi Shima와 함께 4004를 개발했다.
예를 들어 백만 개 이상의 트랜지스터 칩은 메모리가 많고 보통 레벨 1과 레벨 2 이상의 메모리를 캐시하며, 대용량 캐시가 보편화된 현대 마이크로프로세서의 트랜지스터 대부분을 차지합니다.레벨 1의 Pentium Pro 다이 캐시는 트랜지스터의 14% 이상을 차지하지만, 훨씬 큰 L2 캐시는 별도의 다이 상에 있지만 온패키지이므로 트랜지스터 수에 포함되지 않습니다.나중에 나온 칩에는 더 많은 레벨, L2 또는 L3 온칩이 포함되었습니다.마지막으로 만들어진 DEC Alpha 칩은 90%가 [13]캐시용입니다.
인텔의 i960CA 소형 캐시인 약 5만개의 트랜지스터는 칩의 큰 부분은 아니지만, 초기 마이크로프로세서에서는 매우 컸을 것입니다.4KB의 ARM 3 칩에서는 캐시가 칩의 63%를 넘고 Intel 80486에서는 칩의 나머지 부분이 복잡하기 때문에 캐시의 크기가 3분의 1을 넘습니다.따라서 캐시가 작은 초기 칩이나 캐시가 전혀 없는 초기 칩을 제외하고는 캐시 메모리가 가장 큰 요소입니다.그러면 칩의 레지스터가 나타내는 메모리보다 명령의 수와 같은 고유한 복잡성이 지배적인 요인입니다.
프로세서 | 트랜지스터 카운트 | 날짜 도입부 | 디자이너 | 과정 (nm) | 면적(mm2) | 트랜지스터 밀도(tr./mm2) |
---|---|---|---|---|---|---|
MP944 (20비트, 6칩, 합계 28칩) | 74,442 (5,360만 해당)ROM 및 RAM)[14][15] | 1970년[12][a] | 개럿 아이리서치 | ? | ? | ? |
Intel 4004 (4비트, 16핀) | 2,250 | 1971 | 인텔(R) | 10,000 nm | 12 mm2 | 188 |
TMX 1795 (?비트, 24핀) | 3,078[16] | 1971 | 텍사스 인스트루먼트 | ? | 30.64mm2 | 100.5 |
인텔 8008 (8비트, 18핀) | 3,500 | 1972 | 인텔(R) | 10,000 nm | 14 mm2 | 250 |
NEC μCOM-4(4비트, 42핀) | 2,500[17][18] | 1973 | NEC | 7,500 nm[19] | ? | ? |
도시바 TLCS-12 (12비트) | 11,[20]000 이상 | 1973 | 도시바 | 6000 nm | 32 mm2 | 340+ |
인텔 4040 (4비트, 16핀) | 3,000 | 1974 | 인텔(R) | 10,000 nm | 12 mm2 | 250 |
Motorola 6800 (8비트, 40핀) | 4,100 | 1974 | 모토로라 | 6000 nm | 16 mm2 | 256 |
인텔 8080 (8비트, 40핀) | 6,000 | 1974 | 인텔(R) | 6000 nm | 20 mm2 | 300 |
TMS 1000(4비트, 28핀) | 8,000 | 1974년[21] | 텍사스 인스트루먼트 | 8,000 nm | 11 mm2 | 730 |
MOS 테크놀로지 6502(8비트, 40핀) | 4,528[b][22] | 1975 | MOS 테크놀로지 | 8,000 nm | 21 mm2 | 216 |
Intersil IM6100 (12비트, 40핀, PDP-8 클론) | 4,000 | 1975 | 인터실 | ? | ? | ? |
CDP 1801 (8비트, 2칩, 40핀) | 5,000 | 1975 | RCA | ? | ? | ? |
RCA 1802(8비트, 40핀) | 5,000 | 1976 | RCA | 5,000 nm | 27 mm2 | 185 |
Zilog Z80(8비트, 4비트 ALU, 40핀) | 8,500[c] | 1976 | 자일로그 | 4,000 nm | 18 mm2 | 470 |
인텔 8085 (8비트, 40핀) | 6,500 | 1976 | 인텔(R) | 3,000 nm | 20 mm2 | 325 |
TMS9900(16비트) | 8,000 | 1976 | 텍사스 인스트루먼트 | ? | ? | ? |
Bellmac-8(8비트) | 7,000 | 1977 | 벨 연구소 | 5,000 nm | ? | ? |
Motorola 6809 (일부 16비트 기능이 있는8비트, 40핀) | 9,000 | 1978 | 모토로라 | 5,000 nm | 21 mm2 | 430 |
인텔 8086 (16비트, 40핀) | 29,000 | 1978 | 인텔(R) | 3,000 nm | 33 mm2 | 880 |
Zilog Z8000 (16비트) | 17,500[23] | 1979 | 자일로그 | ? | ? | ? |
인텔 8088 (16비트, 8비트 데이터 버스) | 29,000 | 1979 | 인텔(R) | 3,000 nm | 33 mm2 | 880 |
Motorola 68000 (16/32비트, 32비트 레지스터, 16비트 ALU) | 68,000[24] | 1979 | 모토로라 | 3,500 nm | 44 mm2 | 1550 |
인텔 8051 (8비트, 40핀) | 50,000 | 1980 | 인텔(R) | ? | ? | ? |
WDC 65C02 | 11,500[25] | 1981 | WDC | 3,000 nm | 6 mm2 | 1920 |
ROMP(32비트) | 45,000 | 1981 | IBM | 2,000 nm | ? | ? |
Intel 80186 (16비트, 68핀) | 55,000 | 1982 | 인텔(R) | 3,000 nm | 60 mm2 | 920 |
인텔 80286 (16비트, 68핀) | 134,000 | 1982 | 인텔(R) | 1,500 nm | 49 mm2 | 2730 |
WDC 65C816 (8/16 비트) | 22,000[26] | 1983 | WDC | 3,000 nm[27] | 9 mm2 | 2400 |
NEC V20 | 63,000 | 1984 | NEC | ? | ? | ? |
Motorola 68020 (32비트, 114핀 사용) | 190,000[28] | 1984 | 모토로라 | 2,000 nm | 85 mm2 | 2200 |
Intel 80386 (32비트, 132핀, 캐시 없음) | 275,000 | 1985 | 인텔(R) | 1,500 nm | 104mm2 | 2640 |
ARM 1(32비트, 캐시 없음) | 25,000[28] | 1985 | 도토리 | 3,000 nm | 50 mm2 | 500 |
Novix NC4016 (16비트) | 16,000[29] | 1985년[30] | 해리스 코퍼레이션 | 3,000 nm[31] | ? | ? |
SPARC MB86900(32비트, 캐시 없음) | 110,000[32] | 1986 | 후지쯔 | 1,200 nm | ? | ? |
NEC V60[33](32비트, 캐시 없음) | 375,000 | 1986 | NEC | 1,500 nm | ? | ? |
ARM 2(32비트, 84핀, 캐시 없음) | 27,000[34][28] | 1986 | 도토리 | 2,000 nm | 30.25mm2 | 890 |
Z80000(32비트, 초소형 캐시) | 91,000 | 1986 | 자일로그 | ? | ? | ? |
NEC V70[33](32비트, 캐시 없음) | 385,000 | 1987 | NEC | 1,500 nm | ? | ? |
Hitachi Gmicro/200[35] | 730,000 | 1987 | 히타치 | 1,000 nm | ? | ? |
Motorola 68030 (32비트, 초소형 캐시) | 273,000 | 1987 | 모토로라 | 800 nm | 102mm2 | 2680 |
TI Explorer의 32비트 리스프 머신 칩 | 553,000[36] | 1987 | 텍사스 인스트루먼트 | 2,000 nm[37] | ? | ? |
DEC WRL MultiTitan | 180,000[38] | 1988 | 12월 | 1,500 nm | 61 mm2 | 2950 |
인텔 i960 (32비트, 33비트 메모리 서브시스템, 캐시 없음) | 250,000[39] | 1988 | 인텔(R) | 1,500 nm[40] | ? | ? |
인텔 i960CA (32비트, 캐시) | 600,000[40] | 1989 | 인텔(R) | 800 nm | 143mm2 | 4200 |
인텔 i860(32/64비트, 128비트 SIMD, 캐시, VLIW) | 100만[41] | 1989 | 인텔(R) | ? | ? | ? |
Intel 80486 (32비트, 4KB 캐시) | 1,180,235 | 1989 | 인텔(R) | 1000 nm | 173mm2 | 6822 |
ARM 3(32비트, 4KB 캐시) | 310,000 | 1989 | 도토리 | 1,500 nm | 87 mm2 | 3600 |
POWER1(9칩 모듈, 72kB 캐시) | 6,900,000[42] | 1990 | IBM | 1000 nm | 1283.61mm2 | 5375 |
Motorola 68040 (32비트, 8KB 캐시) | 1,200,000 | 1990 | 모토로라 | 650 nm | 152mm2 | 7900 |
R4000(64비트, 16KB 캐시) | 1,350,000 | 1991 | MIPS | 1,000 nm | 213mm2 | 6340 |
ARM 6(32비트, 이 60종류의 경우 캐시 없음) | 35,000 | 1991 | 팔 | 800 nm | ? | ? |
Hitachi SH-1(32비트, 캐시 없음) | 600,000[43] | 1992년[44] | 히타치 | 800 nm | 10 mm2 | 60,000 (체크) |
인텔 i960CF(32비트, 캐시) | 900,000[40] | 1992 | 인텔(R) | ? | 125 mm2 | 7200 |
DEC Alpha 21064(64비트, 290핀, 16KB 캐시) | 1,680,000 | 1992 | DEC | 750 nm | 233.52 mm2 | 7190 |
Hitachi HARP-1(32비트, 캐시) | 2,800,000[45] | 1993 | 히타치 | 500 nm | 267mm2 | 10,500 |
Pentium (32비트, 16KB 캐시) | 3,100,000 | 1993 | 인텔(R) | 800 nm | 294mm2 | 10,500 |
POWER2(8칩 모듈, 288kB 캐시) | 23,037,000[46] | 1993 | IBM | 720 nm | 1217.39mm2 | 18,923 |
ARM700(32비트, 8KB 캐시) | 578,977[47] | 1994 | 팔 | 700 nm | 68.51 mm2 | 8451 |
MuP21 (21비트,[48] 40핀, 비디오 포함) | 7,000[49] | 1994 | 오프테 엔터프라이즈 | 1200 nm | ? | ? |
Motorola 68060 (32비트, 16KB 캐시) | 2,500,000 | 1994 | 모토로라 | 600 nm | 218mm2 | 11,500 |
PowerPC 601 (32비트, 32KB 캐시) | 2,800,000[50] | 1994 | 애플/IBM/모토로라 | 600 nm | 121 mm2 | 23,000 |
PowerPC 603(32비트, 16KB 캐시) | 1,600,000[51] | 1994 | 애플/IBM/모토로라 | 500 nm | 84.76 mm2 | 18,900 |
PowerPC 603e(32비트, 32KB 캐시) | 2,600,000[52] | 1995 | 애플/IBM/모토로라 | 500 nm | 98 mm2 | 26,500 |
Alpha 21164 EV5(64비트, 112kB 캐시) | 9,300,000[53] | 1995 | DEC | 500 nm | 298.65 mm2 | 31,140 |
SA-110(32비트, 32KB 캐시) | 2,500,000[28] | 1995 | 아콘/DEC/애플 | 350 nm | 50 mm2 | 50,000 |
Pentium Pro (32비트, 16KB 캐시,[54] L2 캐시 온패키지, 그러나 별도의 다이) | 5,500,000[55] | 1995 | 인텔(R) | 500 nm | 307mm2 | 18,000 |
PA-8000 64비트, 캐시 없음 | 3,800,000[56] | 1995 | HP | 500 nm | 337.69mm2 | 11,300 |
Alpha 21164A EV56(64비트, 112kB 캐시) | 9,109,000[57] | 1996 | DEC | 350 nm | 208.8 mm2 | 46,260 |
AMD K5(32비트, 캐시) | 4,300,000 | 1996 | AMD | 500 nm | 251mm2 | 17,000 |
Hitachi SH-4(32비트, 캐시) | 1,000,000[58] | 1997 | 히타치 | 200 nm[59] | 42 mm2[60] | 238,000 (체크) |
Pentium II Klamath (32비트, 64비트 SIMD, 캐시) | 7,500,000 | 1997 | 인텔(R) | 350 nm | 195 mm2 | 39,000 |
AMD K6(32비트, 캐시) | 8,800,000 | 1997 | AMD | 350 nm | 162mm2 | 54,000 |
F21 (21비트, 비디오 등 포함) | 15,000 | 1997년[49] | 오프테 엔터프라이즈 | ? | ? | ? |
AVR(8비트, 40핀, 메모리 탑재) | 140,000 (48,000 (메모리만[61]) | 1997 | 북유럽 VLSI/Atmel | ? | ? | ? |
Pentium II Deschutes (32비트, 대용량 캐시) | 7,500,000 | 1998 | 인텔(R) | 250 nm | 113 mm2 | 66,000 |
Alpha 21264 EV6(64비트) | 1,520,000[62] | 1998 | DEC | 350 nm | 313.96 mm2 | 48,400 |
Alpha 21164PC PCA57(64비트, 48kB 캐시) | 5,700,000 | 1998 | 삼성 | 280 nm | 100.5 mm2 | 56,700 |
ARM 9TDMI(32비트, 캐시 없음) | 111,000[28] | 1999 | 도토리 | 350 nm | 4.8 mm2 | 23,100 |
Pentium III Katmai (32비트, 128비트 SIMD, 캐시) | 9,500,000 | 1999 | 인텔(R) | 250 nm | 128 mm2 | 74,000 |
Emotion 엔진(64비트, 128비트 SIMD, 캐시) | 13,500,000[63] | 1999 | 소니/도시바 | 180 nm[64] | 240 mm2[65] | 56,300 |
Pentium II Mobile Dixon (32비트, 캐시) | 27,400,000 | 1999 | 인텔(R) | 180 nm | 180 mm2 | 152,000 |
AMD K6-II(32비트, 캐시) | 21,300,000 | 1999 | AMD | 250 nm | 118mm2 | 181,000 |
AMD K7(32비트, 캐시) | 22,000,000 | 1999 | AMD | 250 nm | 184mm2 | 120,000 |
Gekko(32비트 대용량 캐시) | 2,100,000[66] | 2000 | IBM/닌텐도 | 180 nm | 43 mm2 | 490,000 (체크) |
Pentium III Coppermine (32비트, 대용량 캐시) | 21,000,000 | 2000 | 인텔(R) | 180 nm | 80 mm2 | 263,000 |
Pentium 4 Willamette (32비트, 대용량 캐시) | 42,000,000 | 2000 | 인텔(R) | 180 nm | 217mm2 | 194,000 |
SPARC64 V(64비트 대용량 캐시) | 1억9100만[67] | 2001 | 후지쯔 | 130 nm[68] | 290 mm2 | 659,000 |
Pentium III Tualatin (32비트, 대용량 캐시) | 45,000,000 | 2001 | 인텔(R) | 130 nm | 81 mm2 | 556,000 |
Pentium 4 Northwood (32비트, 대용량 캐시) | 55,000,000 | 2002 | 인텔(R) | 130 nm | 145mm2 | 379,000 |
Itanium 2 McKinley (64비트 대용량 캐시) | 220,000,000 | 2002 | 인텔(R) | 180 nm | 421mm2 | 523,000 |
DEC Alpha 21364(64비트, 946핀, SIMD, 매우 큰 캐시) | 152,000,000[13] | 2003 | DEC | 180 nm | 397mm2 | 383,000 |
Barton(32비트 대용량 캐시) | 54,300,000 | 2003 | AMD | 130 nm | 101 mm2 | 538,000 |
AMD K8(64비트 대용량 캐시) | 105,900,000 | 2003 | AMD | 130 nm | 193 mm2 | 548,700 |
아이테니엄 2 Madison 6M (64비트) | 410,000,000 | 2003 | 인텔(R) | 130 nm | 374mm2 | 1,096,000 |
Pentium 4 Precott (32비트, 대용량 캐시) | 112,000,000 | 2004 | 인텔(R) | 90 nm | 110 mm2 | 1,018,000 |
SPARC64 V+(64비트 대용량 캐시) | 400,000,000[69] | 2004 | 후지쯔 | 90 nm | 294mm2 | 1,360,000 |
Itanium 2(64비트, 9MB 캐시) | 592,000,000 | 2004 | 인텔(R) | 130 nm | 432 mm2 | 1,370,000 |
Pentium 4 Precott-2M (32비트, 대용량 캐시) | 169,000,000 | 2005 | 인텔(R) | 90 nm | 143mm2 | 1,182,000 |
Pentium D Smithfield (64비트, 대용량 캐시) | 228,000,000 | 2005 | 인텔(R) | 90 nm | 206 mm2 | 1,107,000 |
제논(64비트, 128비트 SIMD, 대용량 캐시) | 165,000,000 | 2005 | IBM | 90 nm | ? | ? |
셀(32비트, 캐시) | 250,000,000[70] | 2005 | 소니/IBM/도시바 | 90 nm | 221mm2 | 1,131,000 |
Pentium 4 Cedar Mill (32비트, 대용량 캐시) | 184,000,000 | 2006 | 인텔(R) | 65 nm | 90 mm2 | 2,044,000 |
Pentium D Presler (64비트, 대용량 캐시) | 362,000,000 | 2006 | 인텔(R) | 65 nm | 162mm2 | 2,235,000 |
Core 2 Duo Conroe (듀얼코어 64비트 대용량 캐시) | 291,000,000 | 2006 | 인텔(R) | 65 nm | 143mm2 | 2,035,000 |
듀얼코어 Itanium 2(64비트, SIMD, 대용량 캐시) | 1,700,000,000[71] | 2006 | 인텔(R) | 90 nm | 596mm2 | 2,852,000 |
AMD K10 쿼드코어 2M L3(64비트 대용량 캐시) | 46300,000[72] | 2007 | AMD | 65 nm | 283mm2 | 1,636,000 |
ARM Cortex-A9(32비트, (옵션) SIMD, 캐시) | 2,600,000[73] | 2007 | 팔 | 45 nm | 31 mm2 | 839,000 |
Core 2 Duo Wolfdale (듀얼코어 64비트, SIMD, 캐시) | 411,000,000 | 2007 | 인텔(R) | 45 nm | 107mm2 | 3,841,000 |
POWER6(64비트 대용량 캐시) | 789,000,000 | 2007 | IBM | 65 nm | 341mm2 | 2,314,000 |
Core 2 Duo Allendale (듀얼코어 64비트, SIMD, 대용량 캐시) | 169,000,000 | 2007 | 인텔(R) | 65 nm | 111mm2 | 1,523,000 |
유니피어 | 250,000,000[74] | 2007 | 마쓰시타 | 45 nm | ? | ? |
SPARC64 VI(64비트, SIMD, 대용량 캐시) | 540,000,000 | 2007년[75] | 후지쯔 | 90 nm | 421mm2 | 1,283,000 |
Core 2 Duo Wolfdale 3M (듀얼코어 64비트, SIMD, 대용량 캐시) | 230,000,000 | 2008 | 인텔(R) | 45 nm | 83 mm2 | 2,771,000 |
Core i7(쿼드코어 64비트, SIMD, 대용량 캐시) | 731,000,000 | 2008 | 인텔(R) | 45 nm | 263mm2 | 2,779,000 |
AMD K10 쿼드코어 6M L3(64비트, SIMD, 대용량 캐시) | 758,000,000[72] | 2008 | AMD | 45 nm | 258mm2 | 2,938,000 |
ATOM(32비트 대용량 캐시) | 47,000,000 | 2008 | 인텔(R) | 45 nm | 24 mm2 | 1,958,000 |
SPARC64 VII(64비트, SIMD, 대용량 캐시) | 600,000,000 | 2008년[76] | 후지쯔 | 65 nm | 445mm2 | 1,348,000 |
6코어 Xeon 7400(64비트, SIMD, 대용량 캐시) | 1,900,000,000 | 2008 | 인텔(R) | 45 nm | 503mm2 | 3,777,000 |
6코어 Opteron 2400(64비트, SIMD, 대용량 캐시) | 904,000,000 | 2009 | AMD | 45 nm | 346mm2 | 2,613,000 |
SPARC64 VIIfx(64비트, SIMD, 대용량 캐시) | 760,000,000[77] | 2009 | 후지쯔 | 45 nm | 513 mm2 | 1,481,000 |
SPARC T3(16코어 64비트, SIMD, 대용량 캐시) | 100억[78] | 2010 | 태양/오라클 | 40 nm | 377mm2 | 2,653,000 |
6 코어 Core i7 (Gulftown) | 1,170,000,000 | 2010 | 인텔(R) | 32 nm | 240 mm2 | 4,875,000 |
POWER7 32M L3(8코어 64비트, SIMD, 대용량 캐시) | 1,200,000,000 | 2010 | IBM | 45 nm | 567 mm2 | 2,116,000 |
쿼드코어 z196[79](64비트, 매우 큰 캐시) | 1,400,000,000 | 2010 | IBM | 45 nm | 512mm2 | 2,734,000 |
쿼드코어 Itanium Tukwila(64비트, SIMD, 대용량 캐시) | 200억[80] | 2010 | 인텔(R) | 65 nm | 699mm2 | 2,861,000 |
Xeon Nehalem-EX(8코어 64비트, SIMD, 대용량 캐시) | 2,300,000[81] | 2010 | 인텔(R) | 45 nm | 684mm2 | 3,363,000 |
SPARC64 IXfx(64비트, SIMD, 대용량 캐시) | 1,870,000,000[82] | 2011 | 후지쯔 | 40 nm | 484mm2 | 3,864,000 |
쿼드코어 + GPU Core i7 (64비트, SIMD, 대용량 캐시) | 1,160,000,000 | 2011 | 인텔(R) | 32 nm | 216mm2 | 5,370,000 |
6 코어 i7/8 코어 Xeon E5 (Sandy Bridge-E/EP)(64비트, SIMD, 대용량 캐시) | 2,140,000,000[83] | 2011 | 인텔(R) | 32 nm | 434mm2 | 5,230,000 |
Xeon Westmere-EX(10코어 64비트, SIMD, 대용량 캐시) | 2,600,000,000 | 2011 | 인텔(R) | 32 nm | 512mm2 | 5,078,000 |
ATOM "Medfield" (64비트) | 432,000,000[84] | 2012 | 인텔(R) | 32 nm | 64 mm2 | 6,750,000 |
SPARC64 X(64비트, SIMD, 캐시) | 2,990,000,000[85] | 2012 | 후지쯔 | 28 nm | 600 mm2 | 4,983,000 |
AMD Buldozer(8코어 64비트, SIMD, 캐시) | 1,200,000,000[86] | 2012 | AMD | 32 nm | 315mm2 | 3,810,000 |
쿼드코어 + GPU AMD Trinity (64비트, SIMD, 캐시) | 1,303,000,000 | 2012 | AMD | 32 nm | 246 mm2 | 5,297,000 |
쿼드코어 + GPU Core i7 Ivy Bridge (64비트, SIMD, 캐시) | 1,400,000,000 | 2012 | 인텔(R) | 22 nm | 160 mm2 | 8,750,000 |
POWER7+(8코어 64비트, SIMD, 80MB L3 캐시) | 2,100,000,000 | 2012 | IBM | 32 nm | 567 mm2 | 3,704,000 |
6코어 zEC12(64비트, SIMD, 대용량 캐시) | 2,750,000,000 | 2012 | IBM | 32 nm | 597mm2 | 4,606,000 |
Itanium Poulson(8코어 64비트, SIMD, 캐시) | 3,100,000,000 | 2012 | 인텔(R) | 32 nm | 544mm2 | 5,699,000 |
Xeon Phi(61코어 32비트, 512비트 SIMD, 캐시) | 500억[87] | 2012 | 인텔(R) | 22 nm | 720 mm2 | 6,944,000 |
Apple A7 (듀얼코어 64/32비트 ARM64, "모바일 SoC", SIMD, 캐시) | 1,000,000,000 | 2013 | 사과 | 28 nm | 102mm2 | 9,804,000 |
6코어 Core i7 Ivy Bridge E(64비트, SIMD, 캐시) | 1,860,000,000 | 2013 | 인텔(R) | 22 nm | 256 mm2 | 7,266,000 |
POWER8(12코어 64비트, SIMD, 캐시) | 4,200,000,000 | 2013 | IBM | 22 nm | 650 mm2 | 6,462,000 |
Xbox One 메인 SoC(64비트, SIMD, 캐시) | 5,000,000,000 | 2013 | Microsoft/AMD | 28 nm | 363mm2 | 13,770,000 |
쿼드코어 + GPU Core i7 Haswell (64비트, SIMD, 캐시) | 1,400,000,000[88] | 2014 | 인텔(R) | 22 nm | 177 mm2 | 7,910,000 |
Apple A8 (듀얼코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 2,000,000,000 | 2014 | 사과 | 20 nm | 89 mm2 | 22,470,000 |
Core i7 Haswell-E(8코어 64비트, SIMD, 캐시) | 2,600,000,000[89] | 2014 | 인텔(R) | 22 nm | 355mm2 | 7,324,000 |
Apple A8X (트리코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 300억[90] | 2014 | 사과 | 20 nm | 128 mm2 | 23,440,000 |
Xeon Ivy Bridge-EX(15코어 64비트, SIMD, 캐시) | 4,140,000,000[91] | 2014 | 인텔(R) | 22 nm | 541mm2 | 7,967,000 |
Xeon Haswell-E5(18코어 64비트, SIMD, 캐시) | 5,140,000,000[92] | 2014 | 인텔(R) | 22 nm | 661mm2 | 8,411,000 |
쿼드코어 + GPU GT2 Core i7 Skylake K (64비트, SIMD, 캐시) | 1,750,000,000 | 2015 | 인텔(R) | 14 nm | 122mm2 | 14,340,000 |
듀얼코어 + GPU Iris Core i7 Broadwell-U (64비트, SIMD, 캐시) | 1,900,000[93] | 2015 | 인텔(R) | 14 nm | 133 mm2 | 14,290,000 |
Apple A9 (듀얼코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 2,000,000,000+ | 2015 | 사과 | 14 nm (삼성) | 96 mm2 (삼성) | 20,800,000+ |
16 nm (TSMC) | 104.5 mm2 (TSMC) | 19,100,000+ | ||||
Apple A9X (듀얼코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 3,000,000,000+ | 2015 | 사과 | 16 nm | 143.9mm2 | 20,800,000+ |
IBM z13(64비트, 캐시) | 3,990,000,000 | 2015 | IBM | 22 nm | 678mm2 | 5,885,000 |
IBM z13 스토리지 컨트롤러 | 7,100,000,000 | 2015 | IBM | 22 nm | 678mm2 | 10,472,000 |
SPARC M7(32코어 64비트, SIMD, 캐시) | 1,000,000,000[94] | 2015 | 오라클 | 20 nm | ? | ? |
Qualcomm Snapdragon 835 (옥타코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 300억[95][96] | 2016 | 퀄컴 | 10 nm | 72.3 mm2 | 41,490,000 |
Core i7 Broadwell-E(10코어 64비트, SIMD, 캐시) | 3,200,000,000[97] | 2016 | 인텔(R) | 14 nm | 246 mm2[98] | 13,010,000 |
Apple A10 Fusion (쿼드코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 3,300,000,000 | 2016 | 사과 | 16 nm | 125 mm2 | 26,400,000 |
HiSilicon Kirin 960 (옥타코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 400억[99] | 2016 | 화웨이 | 16 nm | 110.00mm2 | 36,360,000 |
Xeon Broadwell-E5(22코어 64비트, SIMD, 캐시) | 7,200,000[100] | 2016 | 인텔(R) | 14 nm | 456mm2 | 15,790,000 |
Xeon Phi(72코어 64비트, 512비트 SIMD, 캐시) | 8,000,000,000 | 2016 | 인텔(R) | 14 nm | 683mm2 | 11,710,000 |
Zip CPU(32비트, FPGA용) | 1,286 6 LUT | 2016 | 지셀퀴스트 테크놀로지 | ? | ? | ? |
Qualcomm Snapdragon 845 (옥타코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 5,300,000[102] | 2017 | 퀄컴 | 10 nm | 94 mm2 | 56,400,000 |
Qualcomm Snapdragon 850 (옥타코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 5,300,000[103] | 2017 | 퀄컴 | 10 nm | 94 mm2 | 56,400,000 |
Apple A11 Bionic (16xa-core 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 4,300,000,000 | 2017 | 사과 | 10 nm | 89.23 mm2 | 48,190,000 |
Zeppelin SoC Ryzen(64비트, SIMD, 캐시) | 4,800,000[104] | 2017 | AMD | 14 nm | 192 mm2 | 25,000,000 |
Ryzen 5 1600 Ryzen (64비트, SIMD, 캐시) | 4,800,000[105] | 2017 | AMD | 14 nm | 213mm2 | 22,530,000 |
Ryzen 5 1600 X Ryzen (64비트, SIMD, 캐시) | 4,800,000[106] | 2017 | AMD | 14 nm | 213mm2 | 22,530,000 |
IBM z14(64비트, SIMD, 캐시) | 6,100,000,000 | 2017 | IBM | 14 nm | 696 mm2 | 8,764,000 |
IBM z14 스토리지 컨트롤러(64비트) | 9,700,000,000 | 2017 | IBM | 14 nm | 696 mm2 | 13,940,000 |
HiSilicon Kirin 970 (옥타코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 5,500,000[107] | 2017 | 화웨이 | 10 nm | 96.72 mm2 | 56,900,000 |
Xbox One X(Project Scorpio) 메인 SoC(64비트, SIMD, 캐시) | 700억[108] | 2017 | Microsoft/AMD | 16 nm | 360 mm2[108] | 19,440,000 |
Xeon Platinum 8180 (28코어 64비트, SIMD, 캐시) | 800억[109][disputed ] | 2017 | 인텔(R) | 14 nm | ? | ? |
POWER9(64비트, SIMD, 캐시) | 8,000,000,000 | 2017 | IBM | 14 nm | 695 mm2 | 11,500,000 |
프리덤 U500 베이스 플랫폼 칩 (E51, 4×U54) RISC-V (64비트, 캐시) | 250,000,000[110] | 2017 | SiFive | 28 nm | 최대 30 mm2 | 8,330,000 |
SPARC64 XII (12코어 64비트, SIMD, 캐시) | 5,450,000,000[111] | 2017 | 후지쯔 | 20 nm | 795mm2 | 6,850,000 |
Apple A10X Fusion (16xa 코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 4,300,000[112] | 2017 | 사과 | 10 nm | 96.40 mm2 | 44,600,000 |
Centriq 2400(64/32비트, SIMD, 캐시) | 1,800,000,000[113] | 2017 | 퀄컴 | 10 nm | 398mm2 | 45,200,000 |
AMD Epyc(32코어 64비트, SIMD, 캐시) | 19,200,000,000 | 2017 | AMD | 14 nm | 768 mm2 | 25,000,000 |
HiSilicon Kirin 710 (옥타코어 ARM64 "모바일 SoC", SIMD, 캐시) | 5,500,000[114] | 2018 | 화웨이 | 12 nm | ? | ? |
Apple A12 Bionic (16xa 코어 ARM64 "모바일 SoC", SIMD, 캐시) | 6,900,000[115][116] | 2018 | 사과 | 7 nm | 83.27 mm2 | 82,900,000 |
HiSilicon Kirin 980 (옥타코어 ARM64 "모바일 SoC", SIMD, 캐시) | 6,900,000[117] | 2018 | 화웨이 | 7 nm | 74.13 mm2 | 93,100,000 |
Qualcomm Snapdragon 8cx / SCX8180 (옥타코어 ARM64 "모바일 SoC", SIMD, 캐시) | 8,500,000[118] | 2018 | 퀄컴 | 7 nm | 112mm2 | 75,900,000 |
Qualcomm Snapdragon 855 (옥타코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 6,700,000[119] | 2019 | 퀄컴 | 7 nm | 73 mm² | 91,800,000 |
Qualcomm Snapdragon 865 (옥타코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 10,300,000[120] | 2020 | 퀄컴 | 7 nm | 83.54mm2[121] | 123,300,000 |
Apple A12X Bionic (옥타코어 64/32비트 ARM64 "모바일 SoC", SIMD, 캐시) | 1,000,000,000[122] | 2018 | 사과 | 7 nm | 122mm2 | 82,000,000 |
후지쯔 A64FX(64/32비트, SIMD, 캐시) | 8,786,000,000[123] | 2018년[124] | 후지쯔 | 7 nm | ? | ? |
Tegra Xavier SoC(64/32비트) | 900억[125] | 2018 | 엔비디아 | 12 nm | 350 mm2 | 25,700,000 |
AMD Ryzen 7 3700X(64비트, SIMD, 캐시, I/O 다이) | 5,990,000,000[126][d] | 2019 | AMD | 7 nm (TSMC) | 199(74+125)mm2 | 30,100,000 |
하이실리콘 기린 990 4G | 800억[127] | 2019 | 화웨이 | 7 nm | 90.00 mm2 | 89,000,000 |
Apple A13 (16xa 코어 64비트 ARM64 "모바일 SoC", SIMD, 캐시) | 8,500,000[128][129] | 2019 | 사과 | 7 nm | 98.48 mm2 | 86,300,000 |
IBM z15 CP 칩 (12 코어, 256 MB L3 캐시) | 9,200,000,000[130] | 2019 | IBM | 14 nm | 696 mm2 | 13,220,000 |
IBM z15 SC 칩(960 MB L4 캐시) | 12,200,000,000 | 2019 | IBM | 14 nm | 696 mm2 | 17,530,000 |
AMD Ryzen 9 3900X(64비트, SIMD, 캐시, I/O 다이) | 9,890,000,000[131][132] | 2019 | AMD | 7 nm (TSMC) | 273mm2 | 36,230,000 |
하이실리콘 기린 990 5G | 10,300,000[133] | 2019 | 화웨이 | 7 nm | 113.31mm2 | 90,900,000 |
AWS Graviton 2(64비트, 64코어 ARM 기반, SIMD, 캐시)[134][135] | 30,000,000,000 | 2019 | 아마존 | 7 nm | ? | ? |
AMD Epyc Rome(64비트, SIMD, 캐시) | 39,540,000,000[131][132] | 2019 | AMD | 7 nm (TSMC) | 1008mm2 | 39,226,000 |
TI Jacinto TDA4VM(ARM A72, DSP, SRAM) | 3,500,000[136] | 2020 | 텍사스 인스트루먼트 | 16 nm | ? | ? |
Apple A14 Bionic (16xa 코어 64비트 ARM64 "모바일 SoC", SIMD, 캐시) | 1,180,000,000[137] | 2020 | 사과 | 5 nm | 88 mm2 | 134,100,000 |
Apple M1(옥타코어 64비트 ARM64 SoC, SIMD, 캐시) | 1,600,000,000[138] | 2020 | 사과 | 5 nm | 119mm2 | 134,500,000 |
하이실리콘 기린 9000 | 1,500,300,000[139][140] | 2020 | 화웨이 | 5 nm | 114mm2 | 134,200,000 |
AMD Ryzen 7 5800H(64비트, SIMD, 캐시, I/O 및 GPU) | 10,700,000[141] | 2021 | AMD | 7 nm | 180 mm2 | 59,440,000 |
AMD Epyc 7763 (밀란) (64 코어, 64 비트) | ? | 2021 | AMD | 7 nm (TSMC) | 1064mm2(8x81+416)[142] | ? |
애플 A15 | 1,500,000,000[143][144] | 2021 | 사과 | 5 nm | 107.68 mm2 | 139,300,000 |
Apple M1 Pro (10 코어, 64 비트) | 33,700,000[145] | 2021 | 사과 | 5 nm | 245mm2[146] | 137,600,000 |
Apple M1 Max (10 코어, 64 비트) | 57,000,000[147][145] | 2021 | 사과 | 5 nm | 420.2 mm2[148] | 135,600,000 |
Power10 듀얼칩 모듈(30개의 SMT8 코어 또는 60개의 SMT4 코어) | 3,600,000,000[149] | 2021 | IBM | 7 nm | 1204mm2 | 29,900,000 |
Apple M1 Ultra (듀얼 칩 모듈, 2×10 코어) | 1억1400만[2][3] | 2022 | 사과 | 5 nm | 840.5mm2[148] | 135,600,000 |
AMD Epyc 7773X(Milan-X)(멀티칩 모듈, 64코어, 768MB L3 캐시) | 2,600,000,000 + 밀라노[150] | 2022 | AMD | 7 nm (TSMC) | 1352mm2(밀란+8×36)[150] | ? |
IBM Telum 듀얼 칩 모듈(2×8 코어, 2×256MB 캐시) | 4,500,000,000[151][152] | 2022 | IBM | 7 nm(삼성) | 1060mm2 | 42,450,000 |
Apple M2(데카코어 64비트 ARM64 SoC, SIMD, 캐시) | 2,000,000,000[153] | 2022 | 사과 | 5 nm | ||
인텔 Xeon Sampire Rapids (56 코어) | 44,000,000,000 (4 x 1,100,000,000) | 2023 | 인텔(R) | 인텔 7 (이전 10 nm) | ~140mm2[155] (4 x 4002 mm ) | 27,500,000 |
프로세서 | MOS 트랜지스터 카운트 | 날짜 도입부 | 디자이너 | MOS과정 (nm) | 면적(mm2) | 트랜지스터 밀도(tr./mm2) |
GPU
그래픽스 처리 유닛(GPU)은 디스플레이를 출력하기 위한 프레임 버퍼 내의 이미지 구축을 가속화하기 위해 메모리를 신속하게 조작 및 변경하도록 설계된 특수 전자회로이다.
설계자는 집적회로칩(NVIDIA나 AMD 등)의 로직을 설계하는 테크놀로지 회사를 말합니다.제조업체는 주조 공장(TSMC, 삼성 반도체 등)에서 반도체 제조 공정을 사용하여 칩을 제조하는 반도체 회사를 말합니다.칩 내의 트랜지스터 카운트는 제조사의 제조 공정에 따라 달라지며, 반도체 노드가 작을수록 일반적으로 트랜지스터 밀도가 높아져 트랜지스터 카운트가 높아집니다.
GPU(VRAM, SGRAM, HBM 등)에 부속되어 있는 랜덤 액세스 메모리(RAM)는 총 트랜지스터 수를 크게 증가시킵니다.일반적으로 그래픽 카드의 트랜지스터의 대부분을 메모리가 차지합니다.예를 들어 Nvidia의 Tesla P100은 GPU에 150억 개의 FinFET(16 nm)와 16GB의 HBM2 메모리를 탑재하고 있으며 그래픽 카드에는 총 [156]1500억 개의 MOSFET가 탑재되어 있습니다.다음 표에는 메모리가 포함되어 있지 않습니다.메모리 트랜지스터의 카운트에 대해서는, 다음의 「메모리」섹션을 참조해 주세요.
프로세서 | 트랜지스터 카운트 | 도입일 | 설계자 | 제조원 | 과정 | 지역 | 트랜지스터 밀도(tr./mm2) | Ref |
---|---|---|---|---|---|---|---|---|
§ PD7220 GDC | 40,000 | 1982 | NEC | NEC | 5,000 nm | [157] | ||
ARC HD63484 | 60,000 | 1984 | 히타치 | 히타치 | [158] | |||
CBM 아그누스 | 21,000 | 1985 | 코모도레 | CSG | 5,000 nm | [159][160] | ||
YM7101 VDP | 100,000 | 1988 | 야마하, 세가 | 야마하 | [161] | |||
톰&제리 | 750,000 | 1993 | 플레어 | IBM | [161] | |||
VDP1 | 1,000,000 | 1994 | 세가 | 히타치 | 500 nm | [162][163] | ||
Sony GPU | 1,000,000 | 1994 | 도시바 | LSI | 500 nm | [164][165][166] | ||
NV1 | 1,000,000 | 1995 | Nvidia, Sega | SGS | 500 nm | 90 mm2 | 11,000 | [162] |
리얼리티 코프로세서 | 2,600,000 | 1996 | SGI | NEC | 350 nm | 81 mm2 | 32,100 | [167] |
전원 VR | 1,200,000 | 1996 | 비디오 로직 | NEC | 350 nm | [168] | ||
부두 그래픽스 | 1,000,000 | 1996 | 3dfx | TSMC | 500 nm | [169][170] | ||
부두 러시 | 1,000,000 | 1997 | 3dfx | TSMC | 500 nm | [169][170] | ||
NV3 | 3,500,000 | 1997 | 엔비디아 | SGS, TSMC | 350 nm | 90 mm2 | 38,900 | [171][172] |
i740 | 3,500,000 | 1998 | 인텔, Real3D | 리얼 3D | 350 nm | [169][170] | ||
부두 2 | 4,000,000 | 1998 | 3dfx | TSMC | 350 nm | |||
부두 러시 | 4,000,000 | 1998 | 3dfx | TSMC | 350 nm | |||
NV4 | 7,000,000 | 1998 | 엔비디아 | TSMC | 350 nm | 90 mm2 | 78,000 | [169][172] |
PowerVR2 CLX2 | 10,000,000 | 1998 | 비디오 로직 | NEC | 250 nm | 116mm2 | 86,200 | [58][173][174][60] |
PowerVR2 PMX1 | 6,000,000 | 1999 | 비디오 로직 | NEC | 250 nm | [175] | ||
레이지 128 | 8,000,000 | 1999 | ATI | TSMC, UMC | 250 nm | 70 mm2 | 114,000 | [170] |
부두 3 | 8,100,000 | 1999 | 3dfx | TSMC | 250 nm | [176] | ||
그래픽 신시사이저 | 43,000,000 | 1999 | 소니, 도시바 | 소니, 도시바 | 180 nm | 279mm2 | 154,000 | [66][64][63][65] |
NV5 | 15,000,000 | 1999 | 엔비디아 | TSMC | 250 nm | 90 mm2 | 167,000 | [170] |
NV10 | 17,000,000 | 1999 | 엔비디아 | TSMC | 220 nm | 111mm2 | 153,000 | [177][172] |
NV11 | 20,000,000 | 2000 | 엔비디아 | TSMC | 180 nm | 65 mm2 | 308,000 | [170] |
NV15 | 25,000,000 | 2000 | 엔비디아 | TSMC | 180 nm | 81 mm2 | 309,000 | [170] |
부두 4 | 14,000,000 | 2000 | 3dfx | TSMC | 220 nm | [169][170] | ||
부두 5 | 28,000,000 | 2000 | 3dfx | TSMC | 220 nm | [169][170] | ||
R100 | 30,000,000 | 2000 | ATI | TSMC | 180 nm | 97 mm2 | 309,000 | [170] |
플리퍼 | 51,000,000 | 2000 | 아트X | NEC | 180 nm | 106mm2 | 481,000 | [66][178] |
PowerVR3 KYRO | 14,000,000 | 2001 | 상상력 | 세인트 | 250 nm | [169][170] | ||
PowerVR3 KYRO II | 15,000,000 | 2001 | 상상력 | 세인트 | 180 nm | |||
NV2A | 60,000,000 | 2001 | 엔비디아 | TSMC | 150 nm | [169][179] | ||
NV20 | 57,000,000 | 2001 | 엔비디아 | TSMC | 150 nm | 128 mm2 | 445,000 | [170] |
NV25 | 63,000,000 | 2002 | 엔비디아 | TSMC | 150 nm | 142mm2 | 444,000 | |
NV28 | 36,000,000 | 2002 | 엔비디아 | TSMC | 150 nm | 101 mm2 | 356,000 | |
NV17/18 | 29,000,000 | 2002 | 엔비디아 | TSMC | 150 nm | 65 mm2 | 446,000 | |
R200 | 60,000,000 | 2001 | ATI | TSMC | 150 nm | 68 mm2 | 882,000 | |
R300 | 107,000,000 | 2002 | ATI | TSMC | 150 nm | 218mm2 | 490,800 | |
R360 | 117,000,000 | 2003 | ATI | TSMC | 150 nm | 218mm2 | 536,700 | |
NV34 | 45,000,000 | 2003 | 엔비디아 | TSMC | 150 nm | 124 mm2 | 363,000 | |
NV34b | 45,000,000 | 2004 | 엔비디아 | TSMC | 140 nm | 91 mm2 | 495,000 | |
NV30 | 125,000,000 | 2003 | 엔비디아 | TSMC | 130 nm | 199 mm2 | 628,000 | |
NV31 | 80,000,000 | 2003 | 엔비디아 | TSMC | 130 nm | 121 mm2 | 661,000 | |
NV35/38 | 135,000,000 | 2003 | 엔비디아 | TSMC | 130 nm | 207mm2 | 652,000 | |
NV36 | 82,000,000 | 2003 | 엔비디아 | IBM | 130 nm | 133 mm2 | 617,000 | |
R480 | 160,000,000 | 2004 | ATI | TSMC | 130 nm | 297mm2 | 538,700 | |
NV40 | 222,000,000 | 2004 | 엔비디아 | IBM | 130 nm | 305mm2 | 727,900 | |
NV44 | 75,000,000 | 2004 | 엔비디아 | IBM | 130 nm | 110 mm2 | 681,800 | |
NV41 | 222,000,000 | 2005 | 엔비디아 | TSMC | 110 nm | 225 mm2 | 986,700 | [170] |
NV42 | 198,000,000 | 2005 | 엔비디아 | TSMC | 110 nm | 222mm2 | 891,900 | |
NV43 | 146,000,000 | 2005 | 엔비디아 | TSMC | 110 nm | 154mm2 | 948,100 | |
G70 | 303,000,000 | 2005 | 엔비디아 | TSMC, 차터드 | 110 nm | 333mm2 | 909,900 | |
Xenos | 232,000,000 | 2005 | ATI | TSMC | 90 nm | 182mm2 | 1,275,000 | [180][181] |
RSX 리얼리티 신시사이저 | 300,000,000 | 2005 | Nvidia, Sony | 소니 | 90 nm | 186 mm2 | 1,613,000 | [182][183] |
R520 | 321,000,000 | 2005 | ATI | TSMC | 90 nm | 288mm2 | 1,115,000 | [170] |
RV530 | 157,000,000 | 2005 | ATI | TSMC | 90 nm | 150 mm2 | 1,047,000 | |
RV515 | 107,000,000 | 2005 | ATI | TSMC | 90 nm | 100 mm2 | 1,070,000 | |
R580 | 384,000,000 | 2006 | ATI | TSMC | 90 nm | 352mm2 | 1,091,000 | |
G71 | 278,000,000 | 2006 | 엔비디아 | TSMC | 90 nm | 196 mm2 | 1,418,000 | |
G72 | 112,000,000 | 2006 | 엔비디아 | TSMC | 90 nm | 81 mm2 | 1,383,000 | |
G73 | 177,000,000 | 2006 | 엔비디아 | TSMC | 90 nm | 125 mm2 | 1,416,000 | |
G80 | 681,000,000 | 2006 | 엔비디아 | TSMC | 90 nm | 480 mm2 | 1,419,000 | |
G86 테슬라 | 210,000,000 | 2007 | 엔비디아 | TSMC | 80 nm | 127 mm2 | 1,654,000 | |
G84 테슬라 | 289,000,000 | 2007 | 엔비디아 | TSMC | 80 nm | 169 mm2 | 1,710,000 | |
RV560 | 330,000,000 | 2006 | ATI | TSMC | 80 nm | 230 mm2 | 1,435,000 | |
R600 | 700,000,000 | 2007 | ATI | TSMC | 80 nm | 420 mm2 | 1,667,000 | |
RV610 | 180,000,000 | 2007 | ATI | TSMC | 65 nm | 85 mm2 | 2,118,000 | [170] |
RV630 | 390,000,000 | 2007 | ATI | TSMC | 65 nm | 153mm2 | 2,549,000 | |
G92 | 754,000,000 | 2007 | 엔비디아 | TSMC, UMC | 65 nm | 324 mm2 | 2,327,000 | |
G94 테슬라 | 505,000,000 | 2008 | 엔비디아 | TSMC | 65 nm | 240 mm2 | 2,104,000 | |
G96 테슬라 | 314,000,000 | 2008 | 엔비디아 | TSMC | 65 nm | 144mm2 | 2,181,000 | |
G98 테슬라 | 210,000,000 | 2008 | 엔비디아 | TSMC | 65 nm | 86 mm2 | 2,442,000 | |
GT200[184] | 1,400,000,000 | 2008 | 엔비디아 | TSMC | 65 nm | 576mm2 | 2,431,000 | |
RV620 | 181,000,000 | 2008 | ATI | TSMC | 55 nm | 67 mm2 | 2,701,000 | [170] |
RV635 | 378,000,000 | 2008 | ATI | TSMC | 55 nm | 135 mm2 | 2,800,000 | |
RV710 | 242,000,000 | 2008 | ATI | TSMC | 55 nm | 73 mm2 | 3,315,000 | |
RV730 | 514,000,000 | 2008 | ATI | TSMC | 55 nm | 146mm2 | 3,521,000 | |
RV670 | 666,000,000 | 2008 | ATI | TSMC | 55 nm | 192 mm2 | 3,469,000 | |
RV770 | 956,000,000 | 2008 | ATI | TSMC | 55 nm | 256 mm2 | 3,734,000 | |
RV790 | 959,000,000 | 2008 | ATI | TSMC | 55 nm | 282mm2 | 3,401,000 | [185][170] |
G92b 테슬라 | 754,000,000 | 2008 | 엔비디아 | TSMC, UMC | 55 nm | 260 mm2 | 2,900,000 | [170] |
G94b 테슬라 | 505,000,000 | 2008 | 엔비디아 | TSMC, UMC | 55 nm | 196 mm2 | 2,577,000 | |
G96b 테슬라 | 314,000,000 | 2008 | 엔비디아 | TSMC, UMC | 55 nm | 121 mm2 | 2,595,000 | |
GT200b 테슬라 | 1,400,000,000 | 2008 | 엔비디아 | TSMC, UMC | 55 nm | 470 mm2 | 2,979,000 | |
GT218 테슬라 | 260,000,000 | 2009 | 엔비디아 | TSMC | 40 nm | 57 mm2 | 4,561,000 | [170] |
GT216 테슬라 | 486,000,000 | 2009 | 엔비디아 | TSMC | 40 nm | 100 mm2 | 4,860,000 | |
GT215 테슬라 | 727,000,000 | 2009 | 엔비디아 | TSMC | 40 nm | 144mm2 | 5,049,000 | |
RV740 | 826,000,000 | 2009 | ATI | TSMC | 40 nm | 137 mm2 | 6,029,000 | |
편백 RV870 | 2,154,000,000 | 2009 | ATI | TSMC | 40 nm | 334mm2 | 6,449,000 | |
주니퍼 RV840 | 1,040,000,000 | 2009 | ATI | TSMC | 40 nm | 166 mm2 | 6,265,000 | |
레드우드 RV830 | 627,000,000 | 2010 | AMD | TSMC | 40 nm | 104mm2 | 6,029,000 | [170] |
시더 RV810 | 292,000,000 | 2010 | AMD(구 ATI) | TSMC | 40 nm | 59 mm2 | 4,949,000 | |
카이코스 RV910 | 370,000,000 | 2011 | AMD | TSMC | 40 nm | 67 mm2 | 5,522,000 | |
터크스 RV930 | 716,000,000 | 2011 | AMD | TSMC | 40 nm | 118mm2 | 6,068,000 | |
바츠 RV940 | 1,700,000,000 | 2010 | AMD | TSMC | 40 nm | 255 mm2 | 6,667,000 | |
케이맨 RV970 | 2,640,000,000 | 2010 | AMD | TSMC | 40 nm | 389mm2 | 6,789,000 | |
GF100 페르미 | 3,200,000,000 | 2010년 3월 | 엔비디아 | TSMC | 40 nm | 526mm2 | 6,084,000 | [186] |
GF110 페르미 | 3,000,000,000 | 2010년 11월 | 엔비디아 | TSMC | 40 nm | 520 mm2 | 5,769,000 | [186] |
GF104 페르미 | 1,950,000,000 | 2011 | 엔비디아 | TSMC | 40 nm | 332mm2 | 5,873,000 | [170] |
GF106 페르미 | 1,170,000,000 | 2010 | 엔비디아 | TSMC | 40 nm | 238 mm2 | 4,916,000 | [170] |
GF108 페르미 | 585,000,000 | 2011 | 엔비디아 | TSMC | 40 nm | 116mm2 | 5,043,000 | [170] |
GF119 페르미 | 292,000,000 | 2011 | 엔비디아 | TSMC | 40 nm | 79 mm2 | 3,696,000 | [170] |
타히티 | 4,312,711,873 | 2011 | AMD | TSMC | 28 nm | 365mm2 | 11,820,000 | [187] |
카보베르데 | 1,500,000,000 | 2012 | AMD | TSMC | 28 nm | 123mm2 | 12,200,000 | [170] |
핏케언 | 2,800,000,000 | 2012 | AMD | TSMC | 28 nm | 212mm2 | 13,210,000 | [170] |
GK110 케플러 | 7,080,000,000 | 2012 | 엔비디아 | TSMC | 28 nm | 561mm2 | 12,620,000 | [188][189] |
GK104 케플러 | 3,540,000,000 | 2012 | 엔비디아 | TSMC | 28 nm | 294mm2 | 12,040,000 | [190] |
GK106 케플러 | 2,540,000,000 | 2012 | 엔비디아 | TSMC | 28 nm | 221mm2 | 11,490,000 | [170] |
GK107 케플러 | 1,270,000,000 | 2012 | 엔비디아 | TSMC | 28 nm | 118mm2 | 10,760,000 | [170] |
GK208 케플러 | 1,020,000,000 | 2013 | 엔비디아 | TSMC | 28 nm | 79 mm2 | 12,910,000 | [170] |
올란드 | 1,040,000,000 | 2013 | AMD | TSMC | 28 nm | 90 mm2 | 11,560,000 | [170] |
보네르 | 2,080,000,000 | 2013 | AMD | TSMC | 28 nm | 160 mm2 | 13,000,000 | |
두랑고(Xbox One) | 4,800,000,000 | 2013 | AMD | TSMC | 28 nm | 375mm2 | 12,800,000 | [191][192] |
리버풀(PlayStation 4) | 알 수 없는 | 2013 | AMD | TSMC | 28 nm | 348mm2 | ? | [193] |
하와이 | 6,300,000,000 | 2013 | AMD | TSMC | 28 nm | 438mm2 | 14,380,000 | [170] |
GM200 맥스웰 | 8,000,000,000 | 2015 | 엔비디아 | TSMC | 28 nm | 601mm2 | 13,310,000 | |
GM204 맥스웰 | 5,200,000,000 | 2014 | 엔비디아 | TSMC | 28 nm | 398mm2 | 13,070,000 | |
GM206 맥스웰 | 2,940,000,000 | 2014 | 엔비디아 | TSMC | 28 nm | 228mm2 | 12,890,000 | |
GM107 맥스웰 | 1,870,000,000 | 2014 | 엔비디아 | TSMC | 28 nm | 148 mm2 | 12,640,000 | |
통가 | 5,000,000,000 | 2014 | AMD | TSMC, Global Foundries | 28 nm | 366mm2 | 13,660,000 | |
피지 | 8,900,000,000 | 2015 | AMD | TSMC | 28 nm | 596mm2 | 14,930,000 | |
Durango 2 (Xbox One S) | 5,000,000,000 | 2016 | AMD | TSMC | 16 nm | 240 mm2 | 20,830,000 | [194] |
Neo (PlayStation 4 Pro) | 5,700,000,000 | 2016 | AMD | TSMC | 16 nm | 325mm2 | 17,540,000 | [195] |
폴라리스 10 '엘레스미어' | 5,700,000,000 | 2016 | AMD | Samsung, Global Foundries | 14 nm | 232mm2 | 24,570,000 | [196] |
폴라리스 11 '바핀' | 3,000,000,000 | 2016 | AMD | Samsung, Global Foundries | 14 nm | 123mm2 | 24,390,000 | [170][197] |
폴라리스 12 '렉사' | 2,200,000,000 | 2017 | AMD | Samsung, Global Foundries | 14 nm | 101 mm2 | 21,780,000 | [170][197] |
GP100 파스칼 | 15,300,000,000 | 2016 | 엔비디아 | TSMC, 삼성 | 16 nm | 610 mm2 | 25,080,000 | [198][199] |
GP102 파스칼 | 11,800,000,000 | 2016 | 엔비디아 | TSMC, 삼성 | 16 nm | 471mm2 | 25,050,000 | [170][199] |
GP104 파스칼 | 7,200,000,000 | 2016 | 엔비디아 | TSMC | 16 nm | 314mm2 | 22,930,000 | [170][199] |
GP106 파스칼 | 4,400,000,000 | 2016 | 엔비디아 | TSMC | 16 nm | 200 mm2 | 22,000,000 | [170][199] |
GP107 파스칼 | 3,300,000,000 | 2016 | 엔비디아 | 삼성 | 14 nm | 132mm2 | 25,000,000 | [170][199] |
GP108 파스칼 | 1,850,000,000 | 2017 | 엔비디아 | 삼성 | 14 nm | 74 mm2 | 25,000,000 | [170][199] |
스콜피오(Xbox One X) | 6,600,000,000 | 2017 | AMD | TSMC | 16 nm | 367mm2 | 17,980,000 | [191][200] |
베가 10 | 12,500,000,000 | 2017 | AMD | Samsung, Global Foundries | 14 nm | 484mm2 | 25,830,000 | [201] |
GV100 볼타 | 21,100,000,000 | 2017 | 엔비디아 | TSMC | 12 nm | 815mm2 | 25,890,000 | [202] |
TU102 튜링 | 18,600,000,000 | 2018 | 엔비디아 | TSMC | 12 nm | 754mm2 | 24,670,000 | [203] |
TU104 튜링 | 13,600,000,000 | 2018 | 엔비디아 | TSMC | 12 nm | 545mm2 | 24,950,000 | |
TU106 튜링 | 10,800,000,000 | 2018 | 엔비디아 | TSMC | 12 nm | 445mm2 | 24,270,000 | |
TU116 튜링 | 6,600,000,000 | 2019 | 엔비디아 | TSMC | 12 nm | 284mm2 | 23,240,000 | [204] |
TU117 튜링 | 4,700,000,000 | 2019 | 엔비디아 | TSMC | 12 nm | 200 mm2 | 23,500,000 | [205] |
베가 20 | 13,230,000,000 | 2018 | AMD | TSMC | 7 nm | 331mm2 | 39,970,000 | [170] |
나비 10 | 10,300,000,000 | 2019 | AMD | TSMC | 7 nm | 251mm2 | 41,040,000 | [206] |
나비 14 | 6,400,000,000 | 2019 | AMD | TSMC | 7 nm | 158mm2 | 40,510,000 | [207] |
GA100 암페어 | 54,200,000,000 | 2020 | 엔비디아 | TSMC | 7 nm | 826mm2 | 65,620,000 | [208][209] |
GA102 암페어 | 28,300,000,000 | 2020 | 엔비디아 | 삼성 | 8 nm | 628 mm2 | 45,035,000 | [210][211] |
GA104 암페어 | 17,400,000,000 | 2020 | 엔비디아 | 삼성 | 8 nm | 392mm² | 44,390,000 | [212] |
GA106 암페어 | 13,250,000,000 | 2021 | 엔비디아 | 삼성 | 8 nm | 276 mm² | 48,010,000 | |
나비21 | 26,800,000,000 | 2020 | AMD | TSMC | 7 nm | 520mm² | 51,540,000 | |
나비 22 | 17,200,000,000 | 2021 | AMD | TSMC | 7 nm | 335mm² | 51,340,000 | |
나비 23 | 11,060,000,000 | 2021 | AMD | TSMC | 7 nm | 237mm² | 46,670,000 | |
나비24 | 5,400,000,000 | 2022 | AMD | TSMC | 6 nm | 107mm² | 50,470,000 | |
MI250X 알데바란 | 59,000,000,000 | 2021 | AMD | TSMC | 6 nm | 없음 | ? | [213] |
GH100 호퍼 | 80,000,000,000 | 2022 | 엔비디아 | TSMC | 4 nm | 814 mm² | 98,280,000 | [214] |
프로세서 | MOS 트랜지스터 카운트 | 도입일 | 설계자 | 제조원 | MOS 과정 | 지역 | 트랜지스터 밀도(tr./mm2) | Ref |
FPGA
Field-Programmable Gate Array(FPGA)는 제조 후 고객 또는 설계자가 구성하도록 설계된 집적회로입니다.
FPGA | 트랜지스터 카운트 | 도입일 | 디자이너 | 제조원 | 과정 | 지역 | 트랜지스터 밀도(tr./mm2) | Ref |
---|---|---|---|---|---|---|---|---|
버텍스 | 70,000,000 | 1997 | 시린스 | |||||
버텍스 E | 200,000,000 | 1998 | 시린스 | |||||
버텍스 II | 350,000,000 | 2000 | 시린스 | 130 nm | ||||
Virtex-II PRO | 430,000,000 | 2002 | 시린스 | |||||
버텍스-4 | 1,000,000,000 | 2004 | 시린스 | 90 nm | ||||
버텍스-5 | 1,100,000,000 | 2006 | 시린스 | TSMC | 65 nm | [215] | ||
스트래틱스 IV | 2,500,000,000 | 2008 | 알테라 | TSMC | 40 nm | [216] | ||
스트래틱스 V | 3,800,000,000 | 2011 | 알테라 | TSMC | 28 nm | [217] | ||
아리아 10 | 5,300,000,000 | 2014 | 알테라 | TSMC | 20 nm | [218] | ||
Virtex-7 2000T | 6,800,000,000 | 2011 | 시린스 | TSMC | 28 nm | [219] | ||
Stratix 10 SX 2800 | 17,000,000,000 | 미정 | 인텔(R) | 인텔(R) | 14 nm | 560 mm2 | 30,400,000 | [220][221] |
Virtex-Ultrascale VU440 | 20,000,000,000 | 2015년 1분기 | 시린스 | TSMC | 20 nm | [222][223] | ||
Virtex-Ultrascale+VU19P | 35,000,000,000 | 2020 | 시린스 | TSMC | 16 nm | 900mm2 | 38,900,000 | [224][225][226] |
Versal VC1902 | 37,000,000,000 | 2019년 하반기 | 시린스 | TSMC | 7 nm | [227][228][229] | ||
Stratix 10 GX 10M | 43,300,000,000 | 2019년 4분기 | 인텔(R) | 인텔(R) | 14 nm | 1400mm2 | 30,930,000 | [230][231] |
Versal VP1802 | 92,000,000,000 | 2021년?[f] | 시린스 | TSMC | 7 nm | [232][233] |
기억
반도체 메모리는 컴퓨터 메모리로 자주 사용되는 전자 데이터 저장 장치로, 집적 회로에 구현됩니다.1970년대 이후 거의 모든 반도체 메모리는 MOSFET(MOS 트랜지스터)를 사용하여 이전의 양극 접합 트랜지스터를 대체했습니다.반도체 메모리에는 Random-Access Memory(RAM;랜덤 액세스 메모리)와 Non-Volatile Memory(NVM; 비휘발성 메모리)의 2종류가 있습니다.다음으로 Dynamic Random-Access Memory(DRAM; 다이내믹랜덤 액세스메모리)와 Static Random-Access Memory(SRAM; 스태틱랜덤 액세스메모리)의 2가지 주요 RAM 타입과 플래시 메모리와 Read-only Memory(ROM; 읽기 전용 메모리)가 있습니다.
일반적인 CMOS SRAM은 셀당 6개의 트랜지스터로 구성됩니다.D램은 트랜지스터 1개와 콘덴서 구조 1개를 의미하는 1T1C가 일반적이다.충전 또는 비충전 콘덴서는 1 또는 0을 저장하기 위해 사용됩니다.플래시 메모리는 플로팅 게이트에 데이터를 격납하고 트랜지스터의 저항을 검출하여 격납된 데이터를 해석한다.저항을 얼마나 미세하게 분리할 수 있는지에 따라 하나의 트랜지스터가 최대 3비트를 저장할 수 있습니다. 즉, 트랜지스터당 8개의 고유한 수준의 저항이 가능합니다.그러나 저울에는 반복성 비용이 따르므로 신뢰성이 있습니다.일반적으로 플래시 드라이브에는 저급 2비트 MLC 플래시가 사용되므로 16GB 플래시 드라이브에는 약 640억개의 트랜지스터가 포함되어 있습니다.
SRAM 칩은 6개의 트랜지스터 셀(비트당 6개의 트랜지스터)이 [234]표준이었다.1970년대 초반 D램 칩은 3개의 트랜지스터 셀(비트당 3개의 트랜지스터)을 가지고 있다가 1970년대 [235][236]중반 4Kb D램 시대 이후 단일 트랜지스터 셀(비트당 1개의 트랜지스터)이 표준이 되었다.싱글 레벨 플래시 메모리의 경우 각 셀에는 1개의 플로팅 게이트 MOSFET(비트당 [237]1개의 트랜지스터)가 포함되어 있으며 멀티 레벨 플래시에는 트랜지스터당 2, 3 또는 4비트가 포함되어 있습니다.
플래시 메모리 칩은 일반적으로 생산 [238]시 최대 128계층, 136계층 관리 [239]계층으로 쌓이며 제조업체에서 제공하는 최대 69계층 최종 사용자 장치에서 구입할 수 있습니다.
칩명 | 용량(비트) | RAM 타입 | 트랜지스터 카운트 | 도입일 | 제조원 | 과정 | 지역 | 트랜지스터 밀도(tr./mm2) | Ref |
---|---|---|---|---|---|---|---|---|---|
— | 1비트 | SRAM(셀) | 6 | 1963 | 페어차일드 | — | — | ? | [240] |
— | 1비트 | DRAM(셀) | 1 | 1965 | 도시바 | — | — | ? | [241][242] |
? | 8비트 | SRAM(양극) | 48 | 1965 | SDS, 시그네틱스 | ? | ? | ? | [240] |
SP95 | 16비트 | SRAM(양극) | 80 | 1965 | IBM | ? | ? | ? | [243] |
TMC3162 | 16비트 | SRAM(TTL) | 96 | 1966 | 트랜짓론 | — | ? | ? | [236] |
? | ? | SRAM(MOS) | ? | 1966 | NEC | ? | ? | ? | [235] |
256비트 | DRAM(IC) | 256 | 1968 | 페어차일드 | ? | ? | ? | [236] | |
64비트 | SRAM(PMOS) | 384 | 1968 | 페어차일드 | ? | ? | ? | [235] | |
144비트 | SRAM(NMOS) | 864 | 1968 | NEC | |||||
1101 | 256비트 | SRAM(PMOS) | 1,536 | 1969 | 인텔(R) | 12,000 nm | ? | ? | [244][245][246] |
1102 | 1 Kb | DRAM(PMOS) | 3,072 | 1970 | 인텔, 허니웰 | ? | ? | ? | [235] |
1103 | 1 Kb | DRAM(PMOS) | 3,072 | 1970 | 인텔(R) | 8,000 nm | 10 mm2 | 307 | [247][234][248][236] |
μPD403 | 1 Kb | DRAM(NMOS) | 3,072 | 1971 | NEC | ? | ? | ? | [249] |
? | 2 Kb | DRAM(PMOS) | 6,144 | 1971 | 일반 계측기 | ? | 12.7 mm2 | 484 | [250] |
2102 | 1 Kb | SRAM(NMOS) | 6,144 | 1972 | 인텔(R) | ? | ? | ? | [244][251] |
? | 8 Kb | DRAM(PMOS) | 8,192 | 1973 | IBM | ? | 18.8 mm2 | 436 | [250] |
5101 | 1 Kb | SRAM(CMOS) | 6,144 | 1974 | 인텔(R) | ? | ? | ? | [244] |
2116 | 16 Kb | DRAM(NMOS) | 16,384 | 1975 | 인텔(R) | ? | ? | ? | [252][236] |
2114 | 4 Kb | SRAM(NMOS) | 24,576 | 1976 | 인텔(R) | ? | ? | ? | [244][253] |
? | 4 Kb | SRAM(CMOS) | 24,576 | 1977 | 도시바 | ? | ? | ? | [245] |
64 Kb | DRAM(NMOS) | 65,536 | 1977 | NTT | ? | 35.4 mm2 | 1851 | [250] | |
DRAM(VMOS) | 65,536 | 1979 | 지멘스 | ? | 25.2 mm2 | 2601 | [250] | ||
16 Kb | SRAM(CMOS) | 98,304 | 1980 | 히타치, 도시바 | ? | ? | ? | [254] | |
256 Kb | DRAM(NMOS) | 262,144 | 1980 | NEC | 1,500 nm | 41.6 mm2 | 6302 | [250] | |
NTT | 1,000 nm | 34.4 mm2 | 7620 | [250] | |||||
64 Kb | SRAM(CMOS) | 393,216 | 1980 | 마쓰시타 | ? | ? | ? | [254] | |
288 Kb | DRAM | 294,912 | 1981 | IBM | ? | 25 mm2 | 11,800 | [255] | |
64 Kb | SRAM(NMOS) | 393,216 | 1982 | 인텔(R) | 1,500 nm | ? | ? | [254] | |
256 Kb | SRAM(CMOS) | 1,572,864 | 1984 | 도시바 | 1,200 nm | ? | ? | [254][246] | |
8 Mb | DRAM | 8,388,608 | 1984년 1월 5일 | 히타치 | ? | ? | ? | [256][257] | |
16 Mb | DRAM(CMOS) | 16,777,216 | 1987 | NTT | 700 nm | 148 mm2 | 113,400 | [250] | |
4 Mb | SRAM(CMOS) | 25,165,824 | 1990 | NEC, 도시바, 히타치, 미쓰비시 | ? | ? | ? | [254] | |
64 Mb | DRAM(CMOS) | 67,108,864 | 1991 | 마쓰시타, 미쓰비시, 후지쯔, 도시바 | 400 nm | ||||
KM48SL2000 | 16 Mb | SDRAM | 16,777,216 | 1992 | 삼성 | ? | ? | ? | [258][259] |
? | 16 Mb | SRAM(CMOS) | 100,663,296 | 1992 | 후지쯔, NEC | 400 nm | ? | ? | [254] |
256 Mb | DRAM(CMOS) | 268,435,456 | 1993 | 히타치, NEC | 250 nm | ||||
1 Gb | DRAM | 1,073,741,824 | 1995년 1월9일 | NEC | 250 nm | ? | ? | [260][261] | |
히타치 | 160 nm | ? | ? | ||||||
SDRAM | 1,073,741,824 | 1996 | 미쓰비시 | 150 nm | ? | ? | [254] | ||
SDRAM(SOI) | 1,073,741,824 | 1997 | 현대 | ? | ? | ? | [262] | ||
4 Gb | DRAM(4비트) | 1,073,741,824 | 1997 | NEC | 150 nm | ? | ? | [254] | |
DRAM | 4,294,967,296 | 1998 | 현대 | ? | ? | ? | [262] | ||
8 Gb | SDRAM(DDR3) | 8,589,934,592 | 2008년 4월 | 삼성 | 50 nm | ? | ? | [263] | |
16 Gb | SDRAM(DDR3) | 17,179,869,184 | 2008 | ||||||
32 Gb | SDRAM(HBM2) | 34,359,738,368 | 2016 | 삼성 | 20 nm | ? | ? | [264] | |
64 Gb | SDRAM(HBM2) | 68,719,476,736 | 2017 | ||||||
128 Gb | SDRAM(DDR4) | 137,438,953,472 | 2018 | 삼성 | 10 nm | ? | ? | [265] | |
? | RRAM[266](3DSoC)[267] | ? | 2019 | 스카이워터 테크놀로지[268] | 90 nm | ? | ? |
칩명 | 용량(비트) | 플래시 타입 | FGMOS 트랜지스터 카운트 | 도입일 | 제조원 | 과정 | 지역 | 트랜지스터 밀도(tr./mm2) | Ref |
---|---|---|---|---|---|---|---|---|---|
? | 256 Kb | 도 아니다 | 262,144 | 1985 | 도시바 | 2,000 nm | ? | ? | [254] |
1 Mb | 도 아니다 | 1,048,576 | 1989 | Seeq, 인텔 | ? | ||||
4 Mb | 낸드 | 4,194,304 | 1989 | 도시바 | 1,000 nm | ||||
16 Mb | 도 아니다 | 16,777,216 | 1991 | 미쓰비시 | 600 nm | ||||
DD28F032SA | 32 Mb | 도 아니다 | 33,554,432 | 1993 | 인텔(R) | ? | 280 mm2 | 120,000 | [244][269] |
? | 64 Mb | 도 아니다 | 67,108,864 | 1994 | NEC | 400 nm | ? | ? | [254] |
낸드 | 67,108,864 | 1996 | 히타치 | ||||||
128 Mb | 낸드 | 134,217,728 | 1996 | 삼성, 히타치 | ? | ||||
256 Mb | 낸드 | 268,435,456 | 1999 | 히타치, 도시바 | 250 nm | ||||
512 Mb | 낸드 | 536,870,912 | 2000 | 도시바 | ? | ? | ? | [270] | |
1 Gb | 2비트 NAND | 536,870,912 | 2001 | 삼성 | ? | ? | ? | [254] | |
도시바, 샌디스크 | 160 nm | ? | ? | [271] | |||||
2 Gb | 낸드 | 2,147,483,648 | 2002 | 삼성, 도시바 | ? | ? | ? | [272][273] | |
8 Gb | 낸드 | 8,589,934,592 | 2004 | 삼성 | 60 nm | ? | ? | [272] | |
16 Gb | 낸드 | 17,179,869,184 | 2005 | 삼성 | 50 nm | ? | ? | [274] | |
32 Gb | 낸드 | 34,359,738,368 | 2006 | 삼성 | 40 nm | ||||
쯔쯔 | 128 Gb | 스택형 NAND | 128,000,000,000 | 2007년 4월 | 도시바 | 56 nm | 252mm2 | 507,900,000 | [275] |
THGBM | 256 Gb | 스택형 NAND | 256,000,000,000 | 2008 | 도시바 | 43 nm | 353mm2 | 725,200,000 | [276] |
THGBM2 | 1 Tb | 스택형 4비트 NAND | 256,000,000,000 | 2010 | 도시바 | 32 nm | 374mm2 | 684,500,000 | [277] |
KLMCG8GE4A | 512 Gb | 스택형 2비트 NAND | 256,000,000,000 | 2011 | 삼성 | ? | 192 mm2 | 1,333,000,000 | [278] |
KLUFG8R1EM | 4 Tb | 스택형 3비트 V-NAND | 1,365,333,333,504 | 2017 | 삼성 | ? | 150 mm2 | 9,102,000,000 | [279] |
eUFS(1 TB) | 8 Tb | 스택형 4비트 V-NAND | 2,048,000,000,000 | 2019 | 삼성 | ? | 150 mm2 | 13,650,000,000 | [4][280] |
칩명 | 용량(비트) | ROM 타입 | 트랜지스터 카운트 | 도입일 | 제조원 | 과정 | 지역 | Ref |
---|---|---|---|---|---|---|---|---|
? | ? | PROM | ? | 1956 | 아르마 | — | ? | [281][282] |
1 Kb | ROM(MOS) | 1,024 | 1965 | 제너럴 마이크로일렉트로닉스 | ? | ? | [283] | |
3301 | 1 Kb | ROM(양극) | 1,024 | 1969 | 인텔(R) | — | ? | [283] |
1702 | 2 Kb | EPROM(MOS) | 2,048 | 1971 | 인텔(R) | ? | 15 mm2 | [284] |
? | 4 Kb | ROM(MOS) | 4,096 | 1974 | AMD, 일반 계측기 | ? | ? | [283] |
2708 | 8 Kb | EPROM(MOS) | 8,192 | 1975 | 인텔(R) | ? | ? | [244] |
? | 2 Kb | EEPROM(MOS) | 2,048 | 1976 | 도시바 | ? | ? | [285] |
§ COM-43 ROM | 16 Kb | PROM(PMOS) | 16,000 | 1977 | NEC | ? | ? | [286] |
2716 | 16 Kb | EPROM(TTL) | 16,384 | 1977 | 인텔(R) | — | ? | [247][287] |
EA8316F | 16 Kb | ROM(NMOS) | 16,384 | 1978 | 전자 어레이 | ? | 436mm2 | [283][288] |
2732 | 32 Kb | EPROM | 32,768 | 1978 | 인텔(R) | ? | ? | [244] |
2364 | 64 Kb | ROM | 65,536 | 1978 | 인텔(R) | ? | ? | [289] |
2764 | 64 Kb | EPROM | 65,536 | 1981 | 인텔(R) | 3,500 nm | ? | [244][254] |
27128 | 128 Kb | EPROM | 131,072 | 1982 | 인텔(R) | ? | ||
27256 | 256 Kb | EPROM(HMOS) | 262,144 | 1983 | 인텔(R) | ? | ? | [244][290] |
? | 256 Kb | EPROM(CMOS) | 262,144 | 1983 | 후지쯔 | ? | ? | [291] |
512 Kb | EPROM(NMOS) | 524,288 | 1984 | AMD | 1,700 nm | ? | [254] | |
27512 | 512 Kb | EPROM(HMOS) | 524,288 | 1984 | 인텔(R) | ? | ? | [244][292] |
? | 1 Mb | EPROM(CMOS) | 1,048,576 | 1984 | NEC | 1,200 nm | ? | [254] |
4 Mb | EPROM(CMOS) | 4,194,304 | 1987 | 도시바 | 800 nm | |||
16 Mb | EPROM(CMOS) | 16,777,216 | 1990 | NEC | 600 nm | |||
MROM | 16,777,216 | 1995 | AKM, 히타치 | ? | ? | [261] |
트랜지스터 컴퓨터
트랜지스터가 발명되기 전에, 릴레이는 상업적인 표계산기와 실험적인 초기 컴퓨터에 사용되었다.세계 최초의 프로그램 가능한 완전 자동 디지털 [293]컴퓨터인 1941 Z3 22비트 워드 길이 컴퓨터는 2,600개의 릴레이를 가지고 있으며 약 4-5Hz의 클럭 주파수로 작동했습니다.1940년식 Complex Number Computer는 500개 미만의 [294]릴레이를 가지고 있었지만 완전히 프로그래밍할 수는 없었습니다.최초의 실용적인 컴퓨터는 진공관과 고체 다이오드 논리를 사용했다.ENIAC에는 18,000개의 진공관, 7,200개의 크리스털 다이오드 및 1,500개의 릴레이가 있으며, 많은 진공관에는 두 개의 3극 요소가 포함되어 있습니다.
2세대 컴퓨터는 이산 트랜지스터, 솔리드 스테이트 다이오드, 자기 메모리 코어로 채워진 기판을 특징으로 하는 트랜지스터 컴퓨터였다.맨체스터 대학에서 개발된 실험적인 1953년형 48비트 트랜지스터 컴퓨터는 세계 어느 곳에서나 작동하기 시작한 최초의 트랜지스터 컴퓨터라고 널리 알려져 있습니다(시제품은 92개의 포인트 접점 트랜지스터와 550개의 [295]다이오드를 가지고 있습니다).이후 버전인 1955 기계는 총 250개의 접점 트랜지스터와 1300개의 접점 다이오드를 가지고 있었다.또한 컴퓨터는 클럭 제너레이터에 적은 수의 튜브를 사용했기 때문에 완전한 트랜지스터화된 최초의 제품은 아니었다.1956년 Electrotechnical Laboratory에서 개발된 ETL Mark III는 저장된 프로그램 방법을 사용한 최초의 트랜지스터 기반 전자 컴퓨터일 수 있습니다.약 130개의 점접촉 트랜지스터와 약 1800개의 게르마늄 다이오드가 논리 소자에 사용되었으며, 이들은 300개의 플러그인 패키지에 삽입 및 [296]분리할 수 있었다.1958년 10진수 아키텍처 IBM 7070은 완전한 프로그래밍이 가능한 최초의 트랜지스터 컴퓨터였습니다.약 14,000장의 표준 모듈러 시스템(SMS) 카드에 약 30,000개의 합금 접합 게르마늄 트랜지스터와 22,000개의 게르마늄 다이오드를 장착했습니다.1959년식 MOBIDIC은 "MOBILE DIgital Computer"의 줄임말로 세미트레일러 트럭 트레일러에 장착된 12,000파운드(6.0 쇼트톤)의 무게로 전장 데이터를 위한 트랜지스터화된 컴퓨터였다.
3세대 컴퓨터는 집적회로(IC)[297]를 사용했다.1962년 15비트 아폴로 가이던스 컴퓨터는 약 12,000개의 트랜지스터와 32,000개의 [298]저항을 위해 "Type-G" (3입력 NOR 게이트) 회로를 사용했습니다.1964년에 도입된 IBM System/360은 하이브리드 회로 [297]팩에 이산 트랜지스터를 사용했습니다.1965년 12비트 PDP-8 CPU는 1409개의 개별 트랜지스터와 10,000개가 넘는 다이오드를 많은 카드에 탑재했습니다.1968년 PDP-8/I 이후 버전에서는 집적회선을 사용했습니다.PDP-8은 나중에 Intersil 6100으로 마이크로프로세서로 재실장되었습니다([299]아래 참조).
차세대 컴퓨터는 1971년 인텔 4004에서 시작된 마이크로컴퓨터입니다.MOS 트랜지스터를 사용했습니다.이것들은 가정용 컴퓨터나 개인용 컴퓨터(PC)에서 사용되었다.
이 목록에는 1950년대와 1960년대의 초기 트랜지스터화 컴퓨터(2세대)와 IC 기반 컴퓨터(3세대)가 포함됩니다.
컴퓨터. | 트랜지스터 카운트 | 연도 | 제조원 | 메모들 | Ref |
---|---|---|---|---|---|
트랜지스터 컴퓨터 | 92 | 1953 | 맨체스터 대학교 | 포인트 접촉 트랜지스터, 550개의 다이오드저장된 프로그램 기능이 부족합니다. | [295] |
종래의 | 700 | 1954 | 벨 연구소 | 점접촉 트랜지스터 | [295] |
트랜지스터 컴퓨터(풀사이즈) | 250 | 1955 | 맨체스터 대학교 | 이산 포인트 접점 트랜지스터, 1,300 다이오드 | [295] |
IBM 608 | 3,000 | 1955 | IBM | 게르마늄 트랜지스터 | [300] |
ETL 마크 III | 130 | 1956 | 전기기술연구소 | 포인트 접점 트랜지스터, 1,800개의 다이오드, 저장된 프로그램 기능 | [295][296] |
메트로빅 950 | 200 | 1956 | 메트로폴리탄 비커스 | 이산 접합 트랜지스터 | |
NEC NEAC-2201 | 600 | 1958 | NEC | 게르마늄 트랜지스터 | [301] |
히타치 MARS-1 | 1,000 | 1958 | 히타치 | [302] | |
IBM 7070 | 30,000 | 1958 | IBM | 합금 접합 게르마늄 트랜지스터, 22,000 다이오드 | [303] |
마쓰시타 MADIC-I | 400 | 1959 | 마쓰시타 | 바이폴라 트랜지스터 | [304] |
NEC NEAC-2203 | 2,579 | 1959 | NEC | [305] | |
도시바 TOSBAC-2100 | 5,000 | 1959 | 도시바 | [306] | |
IBM 7090 | 50,000 | 1959 | IBM | 이산 게르마늄 트랜지스터 | [307] |
PDP-1 | 2,700 | 1959 | 디지털 이그니션 코퍼레이션 | 이산 트랜지스터 | |
올리베티 엘레아 9003 | ? | 1959 | 올리베티 | 300,000(?)의 디스크리트 트랜지스터 및 다이오드 | [308] |
미쓰비시 MELCOM 1101 | 3,500 | 1960 | 미쓰비시 | 게르마늄 트랜지스터 | [309] |
M18 FADAC | 1,600 | 1960 | 오토네틱스 | 이산 트랜지스터 | |
D-17B | 1,521 | 1962 | 오토네틱스 | 이산 트랜지스터 | |
NEC NEAC-L2 | 16,000 | 1964 | NEC | Ge 트랜지스터 | [310] |
IBM 시스템/360 | ? | 1964 | IBM | 하이브리드 회로 | |
PDP-8 "Straight-8" | 1409[299] | 1965 | 디지털 이그니션 코퍼레이션 | 이산 트랜지스터, 10,000개의 다이오드 | |
PDP-8/S | 1001[311][312][313] | 1966 | 디지털 이그니션 코퍼레이션 | 이산 트랜지스터, 다이오드 | |
PDP-8/I | 1409[citation needed] | 1968년[314] | 디지털 이그니션 코퍼레이션 | 74 시리즈 TTL 회로[315] | |
아폴로 유도 컴퓨터 블록 I | 12,300 | 1966 | Raytheon / MIT Instrumentation Laboratory | 각각 3 트랜지스터, 3 입력 NOR 게이트를 포함한 4,100개의 IC(블록 II에는 2,800개의 듀얼 3 입력 NOR 게이트 IC가 있습니다). |
논리 함수
범용 로직 함수의 트랜지스터 카운트는 정적 CMOS [316]구현에 기초하고 있습니다.
기능. | 트랜지스터 카운트 | 참조 |
---|---|---|
것은 아니다. | 2 | |
버퍼 | 4 | |
NAND 2 입력 | 4 | |
NOR 2 입력 | 4 | |
AND 2입력 | 6 | |
OR 2 입력 | 6 | |
NAND 3 입력 | 6 | |
NOR 3 입력 | 6 | |
XOR 2 입력 | 6 | |
XNOR 2 입력 | 8 | |
MUX 2 입력(TG 포함) | 6 | |
MUX 4 입력(TG 포함) | 18 | |
MUX 2 입력 없음 | 8 | |
MUX 4 입력 | 24 | |
1비트 가산기 풀 | 28 | |
1비트 가산기-프로세서 | 48 | |
AND-or-Invert(반전) | 6 | [317] |
래치, D 게이트 | 8 | |
플립 플랍, 엣지 트리거 다이내믹 D(리셋 포함) | 12 | |
8비트 멀티플라이어 | 3,000 | |
16비트 멀티플라이어 | 9,000 | |
32비트 멀티플라이어 | 21,000 | [필요한 건] |
소규모 집적 | 2–100 | [318] |
중규모 집적 | 100–500 | [318] |
대규모 집적 | 500–20,000 | [318] |
초대규모 집적 | 20,000–1,000,000 | [318] |
초대형 집적 | 1,000,000 이상 |
병렬 시스템
지금까지의 병렬 시스템의 각 처리 요소는 당시의 모든 CPU와 마찬가지로 여러 칩으로 구성된 시리얼 컴퓨터였습니다.칩당 트랜지스터 수가 증가함에 따라 각 프로세싱 소자는 더 적은 칩으로 구축될 수 있으며, 이후 각 멀티코어 프로세서 칩은 더 많은 프로세싱 [319]소자를 포함할 수 있습니다.
Goodyear MPP: (1983?) 칩당 8픽셀 프로세서, [319]칩당 3,000~8,000개의 트랜지스터.
Brunel University Scape (싱글 칩 어레이 처리 요소): (1983) 칩당 256픽셀 프로세서, [319]칩당 120,000~14,000개의 트랜지스터.
Cell Broadband Engine: (2006년) 칩당 9코어를 탑재하여 [320]칩당 2억3천400만개의 트랜지스터를 탑재하였습니다.
기타 디바이스
디바이스 타입 | 디바이스명 | 트랜지스터 카운트 | 도입일 | 설계자 | 제조원 | MOS 과정 | 지역 | 트랜지스터 밀도(tr./mm2) | Ref |
---|---|---|---|---|---|---|---|---|---|
딥 러닝 엔진/IPU[g] | 골로사스 GC2 | 23,600,000,000 | 2018 | 그래프코어 | TSMC | 16 nm | 최대 8002 mm | 29,500,000 | [321][322][323][더 나은 소스 필요] |
딥 러닝 엔진/IPU | 웨이퍼 스케일 엔진 | 1,200,000,000,000 | 2019 | 세레브라 | TSMC | 16 nm | 46,1702 mm | 25,960,000 | [5][6][7][8] |
딥 러닝 엔진/IPU | 웨이퍼 스케일 엔진 2 | 2,600,000,000,000 | 2020 | 세레브라 | TSMC | 7 nm | 46,1702 mm | 56,250,000 | [9][324] |
트랜지스터 밀도
트랜지스터 밀도는 단위 면적당 제조되는 트랜지스터의 수로, 일반적으로 평방 밀리미터당2 트랜지스터 수로 측정됩니다.트랜지스터 밀도는 일반적으로 반도체 노드의 게이트 길이(반도체 제조 공정이라고도 함)와 상관 관계가 있으며, 일반적으로 나노미터(nm) 단위로 측정됩니다.2019년 기준으로[update] 트랜지스터 밀도가 가장 높은 반도체 노드는 TSMC의 5나노미터 노드로 평방 [325]밀리미터당 1억7천130만개의 트랜지스터가 있습니다.
MOSFET 노드
노드명 | 트랜지스터 밀도(트랜지스터/mm2) | 생산년도 | 과정 | 모스펫 | 제조원 | Ref |
---|---|---|---|---|---|---|
? | ? | 1960 | 20,000 nm | PMOS | 벨 연구소 | [326][327] |
? | ? | 1960 | 20,000 nm | NMOS | ||
? | ? | 1963 | ? | CMOS | 페어차일드 | [328] |
? | ? | 1964 | ? | PMOS | 제너럴 마이크로일렉트로닉스 | [329] |
? | ? | 1968 | 20,000 nm | CMOS | RCA | [330] |
? | ? | 1969 | 12,000 nm | PMOS | 인텔(R) | [254][246] |
? | ? | 1970 | 10,000 nm | CMOS | RCA | [330] |
? | 300 | 1970 | 8,000 nm | PMOS | 인텔(R) | [248][236] |
? | ? | 1971 | 10,000 nm | PMOS | 인텔(R) | [331] |
? | 480 | 1971 | ? | PMOS | 일반 계측기 | [250] |
? | ? | 1973 | ? | NMOS | 텍사스 인스트루먼트 | [250] |
? | 220 | 1973 | ? | NMOS | 모스테크 | [250] |
? | ? | 1973 | 7,500 nm | NMOS | NEC | [19][18] |
? | ? | 1973 | 6000 nm | PMOS | 도시바 | [20][332] |
? | ? | 1976 | 5,000 nm | NMOS | Hitachi, 인텔 | [250] |
? | ? | 1976 | 5,000 nm | CMOS | RCA | |
? | ? | 1976 | 4,000 nm | NMOS | 자일로그 | |
? | ? | 1976 | 3,000 nm | NMOS | 인텔(R) | [333] |
? | 1,850 | 1977 | ? | NMOS | NTT | [250] |
? | ? | 1978 | 3,000 nm | CMOS | 히타치 | [334] |
? | ? | 1978 | 2,500 nm | NMOS | 텍사스 인스트루먼트 | [250] |
? | ? | 1978 | 2,000 nm | NMOS | NEC, NTT | |
? | 2,600 | 1979 | ? | VMOS | 지멘스 | |
? | 7,280 | 1979 | 1,000 nm | NMOS | NTT | |
? | 7,620 | 1980 | 1,000 nm | NMOS | NTT | |
? | ? | 1983 | 2,000 nm | CMOS | 도시바 | [254] |
? | ? | 1983 | 1,500 nm | CMOS | 인텔(R) | [250] |
? | ? | 1983 | 1,200 nm | CMOS | 인텔(R) | |
? | ? | 1984 | 800 nm | CMOS | NTT | |
? | ? | 1987 | 700 nm | CMOS | 후지쯔 | |
? | ? | 1989 | 600 nm | CMOS | 미쓰비시, NEC, 도시바 | [254] |
? | ? | 1989 | 500 nm | CMOS | 히타치, 미쓰비시, NEC, 도시바 | |
? | ? | 1991 | 400 nm | CMOS | 마쓰시타, 미쓰비시, 후지쯔, 도시바 | |
? | ? | 1993 | 350 nm | CMOS | 소니 | |
? | ? | 1993 | 250 nm | CMOS | 히타치, NEC | |
3LM | 32,000 | 1994 | 350 nm | CMOS | NEC | [167] |
? | ? | 1995 | 160 nm | CMOS | 히타치 | [254] |
? | ? | 1996 | 150 nm | CMOS | 미쓰비시 | |
TSMC 180 nm | ? | 1998 | 180 nm | CMOS | TSMC | [335] |
CS80 | ? | 1999 | 180 nm | CMOS | 후지쯔 | [336] |
? | ? | 1999 | 180 nm | CMOS | 인텔, 소니, 도시바 | [244][64] |
CS85 | ? | 1999 | 170 nm | CMOS | 후지쯔 | [337] |
삼성 140 nm | ? | 1999 | 140 nm | CMOS | 삼성 | [254] |
? | ? | 2001 | 130 nm | CMOS | 후지쯔, 인텔 | [336][244] |
삼성 100 nm | ? | 2001 | 100 nm | CMOS | 삼성 | [254] |
? | ? | 2002 | 90 nm | CMOS | 소니, 도시바, 삼성 | [64][272] |
CS100 | ? | 2003 | 90 nm | CMOS | 후지쯔 | [336] |
인텔 90 nm | 1,450,000 | 2004 | 90 nm | CMOS | 인텔(R) | [338][244] |
삼성 80 nm | ? | 2004 | 80 nm | CMOS | 삼성 | [339] |
? | ? | 2004 | 65 nm | CMOS | 후지쯔, 도시바 | [340] |
삼성 60 nm | ? | 2004 | 60 nm | CMOS | 삼성 | [272] |
TSMC 45 nm | ? | 2004 | 45 nm | CMOS | TSMC | |
엘피다 90 nm | ? | 2005 | 90 nm | CMOS | 엘피다 메모리 | [341] |
CS200 | ? | 2005 | 65 nm | CMOS | 후지쯔 | [342][336] |
삼성 50 nm | ? | 2005 | 50 nm | CMOS | 삼성 | [274] |
인텔 65 nm | 2,080,000 | 2006 | 65 nm | CMOS | 인텔(R) | [338] |
삼성 40 nm | ? | 2006 | 40 nm | CMOS | 삼성 | [274] |
도시바 56 nm | ? | 2007 | 56 nm | CMOS | 도시바 | [275] |
마쓰시타 45 nm | ? | 2007 | 45 nm | CMOS | 마쓰시타 | [74] |
인텔 45 nm | 3,300,000 | 2008 | 45 nm | CMOS | 인텔(R) | [343] |
도시바 43 nm | ? | 2008 | 43 nm | CMOS | 도시바 | [276] |
TSMC 40 nm | ? | 2008 | 40 nm | CMOS | TSMC | [344] |
도시바 32 nm | ? | 2009 | 32 nm | CMOS | 도시바 | [345] |
인텔 32 nm | 7,500,000 | 2010 | 32 nm | CMOS | 인텔(R) | [343] |
? | ? | 2010 | 20 nm | CMOS | 하이닉스, 삼성 | [346][274] |
인텔 22 nm | 15,300,000 | 2012 | 22 nm | CMOS | 인텔(R) | [343] |
IMFT 20 nm | ? | 2012 | 20 nm | CMOS | 동작하지 않다 | [347] |
도시바 19 nm | ? | 2012 | 19 nm | CMOS | 도시바 | |
하이닉스 16 nm | ? | 2013 | 16 nm | 핀펫 | SK하이닉스 | [346] |
TSMC 16 nm | 28,880,000 | 2013 | 16 nm | 핀펫 | TSMC | [348][349] |
삼성 10 nm | 51,820,000 | 2013 | 10 nm | 핀펫 | 삼성 | [350][351] |
인텔 14 nm | 37,500,000 | 2014 | 14 nm | 핀펫 | 인텔(R) | [343] |
14LP | 32,940,000 | 2015 | 14 nm | 핀펫 | 삼성 | [350] |
TSMC 10 nm | 52,510,000 | 2016 | 10 nm | 핀펫 | TSMC | [348][352] |
12LP | 36,710,000 | 2017 | 12 nm | 핀펫 | Global Foundries, 삼성 | [197] |
N7FF | 96,500,000 101,850,000[353] | 2017 | 7 nm | 핀펫 | TSMC | [354][355][356] |
8LPP | 61,180,000 | 2018 | 8 nm | 핀펫 | 삼성 | [350] |
7LPE | 95,300,000 | 2018 | 7 nm | 핀펫 | 삼성 | [355] |
인텔 10 nm | 100,760,000 106,100,000[353] | 2018 | 10 nm | 핀펫 | 인텔(R) | [357] |
5LPE | 126,530,000 | 2018 | 5 nm | 핀펫 | 삼성 | [359][360] |
N7FF+ | 113,900,000 | 2019 | 7 nm | 핀펫 | TSMC | [354][355] |
CLN5FF | 171,300,000 185,460,000[353] | 2019 | 5 nm | 핀펫 | TSMC | [325] |
인텔 7 | 100,760,000 106,100,000[353] | 2021 | 7 nm | 핀펫 | 인텔(R) | |
4LPE | 145,700,000[358] | 2021 | 4 nm | 핀펫 | 삼성 | [361][362][363] |
N4 | 196,600,000[353][364] | 2021 | 4 nm | 핀펫 | TSMC | [365] |
N4P | 196,600,000[353][364] | 2022 | 4 nm | 핀펫 | TSMC | [366] |
N3 | 314,730,000[353] | 2022 | 3 nm | 핀펫 | TSMC | [367][368] |
3 GE | 202,850,000[353] | 2022 | 3 nm | MBCFET | 삼성 | [369][361][370] |
N4X | ? | 2023 | 4 nm | 핀펫 | TSMC | [371][372][373] |
N3E | ? | 2023 | 3 nm | 핀펫 | TSMC | [368][374] |
3갭 | ? | 2023 | 3 nm | MBCFET | 삼성 | [361] |
인텔 4 | 160,000,000[375] | 2023 | 4 nm | 핀펫 | 인텔(R) | [376][377][378] |
인텔 3 | ? | 2023 | 3 nm | 핀펫 | 인텔(R) | [377][378] |
인텔 20A | ? | 2024 | 2 nm | 리본 FET | 인텔(R) | [377][378] |
인텔 18A | ? | 2025 | 2 nm 미만 | 리본 FET | 인텔(R) | [377] |
삼성 2 nm | ? | 2025 | 2 nm | MBCFET | 삼성 | [361] |
N2 | ? | 2025 | 2 nm | GAFET | TSMC | [368][374] |
「 」를 참조해 주세요.
- 게이트 카운트, 대체 메트릭
- 데나르 스케일링
- 전자 산업
- 집적회로
- 가장 많이 팔리는 전자 기기 목록
- 반도체 스케일 예시 목록
- 모스펫
- 반도체
- 반도체 소자
- 반도체 장치 제작
- 반도체 산업
- 트랜지스터
- 세레브라 시스템즈
메모들
레퍼런스
- ^ Khosla, Robin (2017). Alternate high-k dielectrics for next-generation CMOS logic and memory technology (PhD). IIT Mandi.
- ^ a b "Apple unveils M1 Ultra, the world's most powerful chip for a personal computer". Apple Newsroom. Retrieved March 9, 2022.
- ^ a b Shankland, Stephen. "Meet Apple's Enormous 20-Core M1 Ultra Processor, the Brains in the New Mac Studio Machine". CNET. Retrieved March 9, 2022.
- ^ a b Manners, David (January 30, 2019). "Samsung makes 1TB flash eUFS module". Electronics Weekly. Retrieved June 23, 2019.
- ^ a b Hruska, Joel (August 2019). "Cerebras Systems Unveils 1.2 Trillion Transistor Wafer-Scale Processor for AI". extremetech.com. Retrieved September 6, 2019.
- ^ a b Feldman, Michael (August 2019). "Machine Learning chip breaks new ground with waferscale integration". nextplatform.com. Retrieved September 6, 2019.
- ^ a b Cutress, Ian (August 2019). "Hot Chips 31 Live Blogs: Cerebras' 1.2 Trillion Transistor Deep Learning Processor". anandtech.com. Retrieved September 6, 2019.
- ^ a b "A Look at Cerebras Wafer-Scale Engine: Half Square Foot Silicon Chip". WikiChip Fuse. November 16, 2019. Retrieved December 2, 2019.
- ^ a b Everett, Joseph (August 26, 2020). "World's largest CPU has 850,000 7 nm cores that are optimized for AI and 2.6 trillion transistors". TechReportArticles.
- ^ "John Gustafson's answer to How many individual transistors are in the world's most powerful supercomputer?". Quora. Retrieved August 22, 2019.
- ^ a b "1971: Microprocessor Integrates CPU Function onto a Single Chip". The Silicon Engine. Computer History Museum. Retrieved September 4, 2019.
- ^ a b Holt, Ray. "World's First Microprocessor". Retrieved March 5, 2016.
1st fully integrated chip set microprocessor
- ^ a b "Alpha 21364 - Microarchitectures - Compaq - WikiChip". en.wikichip.org. Retrieved September 8, 2019.
- ^ Holt, Ray M. (1998). The F14A Central Air Data Computer and the LSI Technology State-of-the-Art in 1968. p. 8.
- ^ Holt, Ray M. (2013). "F14 TomCat MOS-LSI Chip Set". First Microprocessor. Archived from the original on November 6, 2020. Retrieved November 6, 2020.
- ^ 켄 쉬리프.「Texas Instruments TMX 1795: (거의) 최초의 잊혀진 마이크로프로세서」. 2015년.
- ^ Ryoichi Mori; Hiroaki Tajima; Morihiko Tajima; Yoshikuni Okada (October 1977). "Microprocessors in Japan". Euromicro Newsletter. 3 (4): 50–7. doi:10.1016/0303-1268(77)90111-0.
- ^ a b "NEC 751 (uCOM-4)". The Antique Chip Collector's Page. Archived from the original on May 25, 2011. Retrieved June 11, 2010.
- ^ a b "1970s: Development and evolution of microprocessors" (PDF). Semiconductor History Museum of Japan. Archived from the original (PDF) on June 27, 2019. Retrieved June 27, 2019.
- ^ a b "1973: 12-bit engine-control microprocessor (Toshiba)" (PDF). Semiconductor History Museum of Japan. Archived from the original (PDF) on June 27, 2019. Retrieved June 27, 2019.
- ^ "Low Bandwidth Timeline – Semiconductor". Texas Instruments. Retrieved June 22, 2016.
- ^ "The MOS 6502 and the Best Layout Guy in the World". research.swtch.com. January 3, 2011. Retrieved September 3, 2019.
- ^ "Digital History: ZILOG Z8000 (APRIL 1979)". OLD-COMPUTERS.COM : The Museum. Retrieved June 19, 2019.
- ^ "Chip Hall of Fame: Motorola MC68000 Microprocessor". IEEE Spectrum. Institute of Electrical and Electronics Engineers. June 30, 2017. Retrieved June 19, 2019.
- ^ 마이크로프로세서: 1971년부터 1976년까지 Christiansen
- ^ "Microprocessors 1976 to 1981". weber.edu. Retrieved August 9, 2014.
- ^ "W65C816S 16-bit Core". www.westerndesigncenter.com. Retrieved September 12, 2017.
- ^ a b c d e Demone, Paul (November 9, 2000). "ARM's Race to World Domination". real world technologies. Retrieved July 20, 2015.
- ^ Hand, Tom. "The Harris RTX 2000 Microcontroller" (PDF). mpeforth.com. Retrieved August 9, 2014.
- ^ "Forth chips list". UltraTechnology. March 15, 2001. Retrieved August 9, 2014.
- ^ Koopman, Philip J. (1989). "4.4 Architecture of the Novix NC4016". Stack Computers: the new wave. Ellis Horwood Series in Computers and Their Applications. Carnegie Mellon University. ISBN 978-0745804187. Retrieved August 9, 2014.
- ^ "Fujitsu SPARC". cpu-collection.de. Retrieved June 30, 2019.
- ^ a b Kimura S, Komoto Y, Yano Y (1988). "Implementation of the V60/V70 and its FRM function". IEEE Micro. 8 (2): 22–36. doi:10.1109/40.527. S2CID 9507994.
- ^ "VL2333 - VTI - WikiChip". en.wikichip.org. Retrieved August 31, 2019.
- ^ Inayoshi H, Kawasaki I, Nishimukai T, Sakamura K (1988). "Realization of Gmicro/200". IEEE Micro. 8 (2): 12–21. doi:10.1109/40.526. S2CID 36938046.
- ^ Bosshart, P.; Hewes, C.; Mi-Chang Chang; Kwok-Kit Chau; Hoac, C.; Houston, T.; Kalyan, V.; Lusky, S.; Mahant-Shetti, S.; Matzke, D.; Ruparel, K.; Ching-Hao Shaw; Sridhar, T.; Stark, D. (October 1987). "A 553K-Transistor LISP Processor Chip". IEEE Journal of Solid-State Circuits. 22 (5): 202–3. doi:10.1109/ISSCC.1987.1157084. S2CID 195841103.
- ^ Fahlén, Lennart E.; Stockholm International Peace Research Institute (1987). "3. Hardware requirements for artificial intelligence § Lisp Machines: TI Explorer". Arms and Artificial Intelligence: Weapon and Arms Control Applications of Advanced Computing. SIPRI Monograph Series. Oxford University Press. p. 57. ISBN 978-0-19-829122-0.
- ^ Jouppi, Norman P.; Tang, Jeffrey Y. F. (July 1989). "A 20-MIPS Sustained 32-bit CMOS Microprocessor with High Ratio of Sustained to Peak Performance". IEEE Journal of Solid-State Circuits. 24 (5): i. Bibcode:1989IJSSC..24.1348J. CiteSeerX 10.1.1.85.988. doi:10.1109/JSSC.1989.572612. WRL Research Report 89/11.
- ^ "The CPU shack museum". CPUshack.com. May 15, 2005. Retrieved August 9, 2014.
- ^ a b c "Intel i960 Embedded Microprocessor". National High Magnetic Field Laboratory. Florida State University. March 3, 2003. Archived from the original on March 3, 2003. Retrieved June 29, 2019.
- ^ Venkatasawmy, Rama (2013). The Digitization of Cinematic Visual Effects: Hollywood's Coming of Age. Rowman & Littlefield. p. 198. ISBN 9780739176214.
- ^ 바코글루, 그로호스키, 몽토예."IBM RISC System/6000 프로세서: 하드웨어의 개요」IBM J. Research and Development.제34권 제1호, 1990년 1월, 페이지 12-22.
- ^ "SH Microprocessor Leading the Nomadic Era" (PDF). Semiconductor History Museum of Japan. Archived from the original (PDF) on June 27, 2019. Retrieved June 27, 2019.
- ^ "SH2: A Low Power RISC Micro for Consumer Applications" (PDF). Hitachi. Retrieved June 27, 2019.
- ^ "HARP-1: A 120 MHz Superscalar PA-RISC Processor" (PDF). Hitachi. Archived from the original (PDF) on April 23, 2016. Retrieved June 19, 2019.
- ^ 화이트와 다완. "POWER2: 차세대 RISC 시스템/6000 패밀리" IBM J. Research and Development.제38권 제5호, 1994년 9월, 페이지 493-502.
- ^ "ARM7 Statistics". Poppyfields.net. May 27, 1994. Retrieved August 9, 2014.
- ^ "Forth Multiprocessor Chip MuP21". www.ultratechnology.com. Retrieved September 6, 2019.
MuP21 has a 21-bit CPU core, a memory coprocessor, and a video coprocessor
- ^ a b "F21 CPU". www.ultratechnology.com. Retrieved September 6, 2019.
F21 offers video I/O, analog I/O, serial network I/O, and a parallel I/O port on chip. F21 has a transistor count of about 15,000 vs about 7,000 for MuP21.
- ^ "Ars Technica: PowerPC on Apple: An Architectural History, Part I - Page 2 - (8/2004)". archive.arstechnica.com. Retrieved August 11, 2020.
- ^ 게리 44.1이상.(1994년)."파워PC 603년 마이크로 프로세서:휴대용 applications."을 위한 저전력 디자인입니다.COMPCON 94의 회보. 환경부:10.1109/CMPCON.1994.282894.
- ^ Slaton(알.(1995년)."파워PC 603e 마이크로 프로세서:인터라인 CCD'95 국제 회의 컴퓨터 디자인에 강화된, 저전력, 슈퍼 스칼라 microprocessor." 논문집.환경부:10.1109/ICCD.1995.528810
- ^ Bowhill, 윌리엄 J. 에 알.(1995년)."서킷을 갖는300-MHz 64비트 Second-generation CMOS알파 CPU의".디지털 기술 저널권 7, 넘버 1,를 대신하여 서명함. 100–118.
- ^ "Intel Pentium Pro 180". hw-museum.cz. Retrieved September 8, 2019.
- ^ "PC Guide Intel Pentium Pro ("P6")". PCGuide.com. April 17, 2001. Archived from the original on April 14, 2001. Retrieved August 9, 2014.
- ^ Gaddis, N, 주의 경제학자., J.(1996년 11월)."A64-bquad-issue CMOS 간단한 마이크로 프로세서".IEEE저널 Solid-State 회선을 31일(11)의:를 대신하여 서명함. 1697–1702.
- ^ 부샤르, 그레그."는 0.35μm 알파 21164 마이크로 프로세서의 설계 목표".IEEE 핫칩 심포지엄, 1996년 8월, IEEE Computer Society.
- ^ a b "Remembering the Sega Dreamcast". Bit-Tech. September 29, 2009. Retrieved June 18, 2019.
- ^ "Entertainment Systems and High-Performance Processor SH-4" (PDF). Hitachi Review. Hitachi. 48 (2): 58–63. 1999. S2CID 44852046. Archived from the original (PDF) on February 21, 2019. Retrieved June 27, 2019.
- ^ a b Hagiwara, Shiro; Oliver, Ian (November–December 1999). "Sega Dreamcast: Creating a Unified Entertainment World". IEEE Micro. IEEE Computer Society. 19 (6): 29–35. doi:10.1109/40.809375. Archived from the original on August 23, 2000. Retrieved June 27, 2019.
- ^ Ulf Samuelsson. "Transistor count of common uCs?". www.embeddedrelated.com. Retrieved September 8, 2019.
IIRC, The AVR core is 12,000 gates, and the megaAVR core is 20,000 gates. Each gate is 4 transistors. The chip is considerably larger since the memory uses quite a lot.
- ^ 그로노스키, Paul E. 등(1998년 5월)."하이 퍼포먼스 마이크로프로세서 설계"IEEE Journal of Solid-State Circuit 33(5): 676–686페이지.
- ^ a b Hennessy, John L.; Patterson, David A. (May 29, 2002). Computer Architecture: A Quantitative Approach (3 ed.). Morgan Kaufmann. p. 491. ISBN 978-0-08-050252-6. Retrieved April 9, 2013.
- ^ a b c d "EMOTION ENGINE® AND GRAPHICS SYNTHESIZER USED IN THE CORE OF PLAYSTATION® BECOME ONE CHIP" (PDF). Sony. April 21, 2003. Retrieved June 26, 2019.
- ^ a b Diefendorff, Keith (April 19, 1999). "Sony's Emotionally Charged Chip: Killer Floating-Point "Emotion Engine" To Power PlayStation 2000" (PDF). Microprocessor Report. 13 (5). S2CID 29649747. Archived from the original (PDF) on February 28, 2019. Retrieved June 19, 2019.
- ^ a b c "NVIDIA GeForce 7800 GTX GPU Review". PC Perspective. June 22, 2005. Retrieved June 18, 2019.
- ^ Ando, H.; Yoshida, Y.; Inoue, A.; Sugiyama, I.; Asakawa, T.; Morita, K.; Muta, T.; otokurumada, T.; Okada, S.; Yamashita, H.; Satsukawa, Y.; Konmoto, A.; Yamashita, R.; Sugiyama, H. (2003). "A 1.3GHz fifth generation SPARC64 microprocessor". Proceedings of the 40th Annual Design Automation Conference. Design Automation Conference. pp. 702–705. doi:10.1145/775832.776010. ISBN 1-58113-688-9.
- ^ Krewell, Kevin(2002년 10월 21일).'후지쓰의 SPARC64 V는 진짜다'마이크로프로세서 리포트
- ^ 후지쯔 주식회사(2004년 8월).UNIX 서버용 SPARC64 V 프로세서.
- ^ "A Glimpse Inside The Cell Processor". Gamasutra. July 13, 2006. Retrieved June 19, 2019.
- ^ "PRESS KIT — Dual-core Intel Itanium Processor". Intel. Retrieved August 9, 2014.
- ^ a b Toepelt, Bert (January 8, 2009). "AMD Phenom II X4: 45nm Benchmarked — The Phenom II And AMD's Dragon Platform". TomsHardware.com. Retrieved August 9, 2014.
- ^ "ARM (Advanced RISC Machines) Processors". EngineersGarage.com. Retrieved August 9, 2014.
- ^ a b "Panasonic starts to sell a New-generation UniPhier System LSI". Panasonic. October 10, 2007. Retrieved July 2, 2019.
- ^ "SPARC64 VI 확장" 56페이지, 후지쯔 한정 릴리즈 1.3, 2007년 3월 27일
- ^ Morgan, Timothy Prickett (2008년 7월 17일)."후지쓰와 썬은 새로운 스파크 서버 라인업으로 쿼드 플렉스"유닉스 가디언 제8권 제27호
- ^ Takumi Maruyama (2009). SPARC64 VIIIfx: Fujitsu's New Generation Octo Core Processor for PETA Scale computing (PDF). Proceedings of Hot Chips 21. IEEE Computer Society. Archived from the original (PDF) on October 8, 2010. Retrieved June 30, 2019.
- ^ Stokes, Jon (February 10, 2010). "Sun's 1 billion-transistor, 16-core Niagara 3 processor". ArsTechnica.com. Retrieved August 9, 2014.
- ^ "IBM to Ship World's Fastest Microprocessor". IBM. September 1, 2010. Retrieved August 9, 2014.
- ^ "Intel to deliver first computer chip with two billion transistors". AFP. February 5, 2008. Archived from the original on May 20, 2011. Retrieved February 5, 2008.
- ^ "인텔 Xeon 'Nehalem-EX' 프로세서 프리뷰"2009년 5월 26일2009년 5월 28일에 취득.
- ^ Morgan, Timothy Prickett (November 21, 2011), "Fujitsu parades 16-core Sparc64 super stunner", The Register, retrieved December 8, 2011
- ^ Angelini, Chris (November 14, 2011). "Intel Core i7-3960X Review: Sandy Bridge-E And X79 Express". TomsHardware.com. Retrieved August 9, 2014.
- ^ "IDF2012 Mark Bohr, Intel Senior Fellow" (PDF).
- ^ "Images of SPARC64" (PDF). fujitsu.com. Retrieved August 29, 2017.
- ^ "Intel's Atom Architecture: The Journey Begins". AnandTech. Retrieved April 4, 2010.
- ^ "Intel Xeon Phi SE10X". TechPowerUp. Retrieved July 20, 2015.
- ^ Shimpi, Lal. "The Haswell Review: Intel Core i7-4770K & i5-4670K Tested". anandtech. Retrieved November 20, 2014.
- ^ "Dimmick, Frank (August 29, 2014). "Intel Core i7 5960X Extreme Edition Review". Overclockers Club. Retrieved August 29, 2014.
- ^ "Apple A8X". NotebookCheck. Retrieved July 20, 2015.
- ^ "Intel Readying 15-core Xeon E7 v2". AnandTech. Retrieved August 9, 2014.
- ^ "Intel Xeon E5-2600 v3 Processor Overview: Haswell-EP Up to 18 Cores". pcper. September 8, 2014. Retrieved January 29, 2015.
- ^ "Intel's Broadwell-U arrives aboard 15W, 28W mobile processors". TechReport. January 5, 2015. Retrieved January 5, 2015.
- ^ "Oracle Cranks up the Cores to 32 with Sparc M7 Chip". August 13, 2014.
- ^ "Qualcomm Snapdragon 835 (8998)". NotebookCheck. Retrieved September 23, 2017.
- ^ Takahashi, Dean (January 3, 2017). "Qualcomm's Snapdragon 835 will debut with 3 billion transistors and a 10nm manufacturing process". VentureBeat.
- ^ "Broadwell-E: Intel Core i7-6950X, 6900K, 6850K & 6800K Review". Tom's Hardware. May 30, 2016. Retrieved April 12, 2017.
- ^ "The Broadwell-E Review". PC Gamer. July 8, 2016. Retrieved April 12, 2017.
- ^ "HUAWEI TO UNVEIL KIRIN 970 SOC WITH AI UNIT, 5.5 BILLION TRANSISTORS AND 1.2 GBPS LTE SPEED AT IFA 2017". firstpost.com. September 1, 2017. Retrieved November 18, 2018.
- ^ "Broadwell-EP Architecture - Intel Xeon E5-2600 v4 Broadwell-EP Review". Tom's Hardware. March 31, 2016. Retrieved April 4, 2016.
- ^ "About the ZipCPU". zipcpu.com. Retrieved September 10, 2019.
As of ORCONF, 2016, the ZipCPU used between 1286 and 4926 6-LUTs, depending upon how it is configured.
- ^ "Qualcomm Snapdragon 1000 for laptops could pack 8.5 billion transistors". techradar. Retrieved September 23, 2017.
- ^ "Spotted: Qualcomm Snapdragon 8cx Wafer on 7nm". AnandTech. Retrieved December 6, 2018.
- ^ Cutress, Ian (February 22, 2017). "AMD Launches Zen". Anandtech.com. Retrieved February 22, 2017.
- ^ "Ryzen 5 1600 - AMD". Wikichip.org. April 20, 2018. Retrieved December 9, 2018.
- ^ "Ryzen 5 1600X – AMD". Wikichip.org. October 26, 2018. Retrieved December 9, 2018.
- ^ "Kirin 970 – HiSilicon". Wikichip. March 1, 2018. Retrieved November 8, 2018.
- ^ a b Leadbetter, Richard (April 6, 2017). "Inside the next Xbox: Project Scorpio tech revealed". Eurogamer. Retrieved May 3, 2017.
- ^ "Intel Xeon Platinum 8180". TechPowerUp. December 1, 2018. Retrieved December 2, 2018.
- ^ Lee, Y. "SiFive Freedom SoCs : Industry's First Open Source RISC V Chips" (PDF). HotChips 29 IOT/Embedded.
- ^ "Documents at Fujitsu" (PDF). fujitsu.com. Retrieved August 29, 2017.
- ^ Schmerer, Kai (November 5, 2018). "iPad Pro 2018: A12X-Prozessor bietet deutlich mehr Leistung". ZDNet.de (in German).
- ^ "Qualcomm Datacenter Technologies Announces Commercial Shipment of Qualcomm Centriq 2400 – The World's First 10nm Server Processor and Highest Performance Arm-based Server Processor Family Ever Designed". Qualcomm. Retrieved November 9, 2017.
- ^ "HiSilicon Kirin 710". Notebookcheck. September 19, 2018. Retrieved November 24, 2018.
- ^ Yang, Daniel; Wegner, Stacy (September 21, 2018). "Apple iPhone Xs Max Teardown". TechInsights. Retrieved September 21, 2018.
- ^ "Apple's A12 Bionic is the first 7-nanometer smartphone chip". Engadget. Retrieved September 26, 2018.
- ^ "Kirin 980 – HiSilicon". Wikichip. November 8, 2018. Retrieved November 8, 2018.
- ^ "Qualcomm Snapdragon 8180: 7nm SoC SDM1000 With 8.5 Billion Transistors To Challenge Apple A12 Bionic Chipset". dailyhunt. Retrieved September 21, 2018.
- ^ Frumusanu, Andrei. "The Samsung Galaxy S10+ Snapdragon & Exynos Review: Almost Perfect, Yet So Flawed". www.anandtech.com. Retrieved February 19, 2021.
- ^ Friedman, Alan. "Qualcomm will reportedly introduce the Snapdragon 865 SoC as soon as next month". Phone Arena. Retrieved February 19, 2021.
- ^ "Xiaomi Mi 10 Teardown Analysis TechInsights". www.techinsights.com. Retrieved February 19, 2021.
- ^ Zafar, Ramish (October 30, 2018). "Apple's A12X Has 10 Billion Transistors, 90% Performance Boost & 7-Core GPU". Wccftech.
- ^ "Fujitsu began to produce Japan's billions of super-calculations with the strongest ARM processor A64FX". firstxw.com. April 16, 2019. Retrieved June 19, 2019.
- ^ "Fujitsu Successfully Triples the Power Output of Gallium-Nitride Transistors". Fujitsu. August 22, 2018. Retrieved June 19, 2019.
- ^ "Hot Chips 30: Nvidia Xavier SoC". fuse.wikichip.org. September 18, 2018. Retrieved December 6, 2018.
- ^ "AMD Ryzen 9 3900X and Ryzen 7 3700X Review: Zen 2 and 7nm Unleashed". Tom's Hardware. July 7, 2019. Retrieved October 19, 2019.
- ^ Frumusanu, Andrei. "The Huawei Mate 30 Pro Review: Top Hardware without Google?". AnandTech. Retrieved January 2, 2020.
- ^ Zafar, Ramish (September 10, 2019). "Apple A13 For iPhone 11 Has 8.5 Billion Transistors, Quad-Core GPU". Wccftech. Retrieved September 11, 2019.
- ^ Introducing iPhone 11 Pro — Apple Youtube Video, retrieved September 11, 2019[죽은 유튜브 링크]
- ^ "Hot Chips 2020 Live Blog: IBM z15". AnandTech. August 17, 2020.
- ^ a b Broekhuijsen, Niels (October 23, 2019). "AMD's 64-Core EPYC and Ryzen CPUs Stripped: A Detailed Inside Look". Retrieved October 24, 2019.
- ^ a b Mujtaba, Hassan (October 22, 2019). "AMD 2nd Gen EPYC Rome Processors Feature A Gargantuan 39.54 Billion Transistors, IO Die Pictured in Detail". Retrieved October 24, 2019.
- ^ Friedman, Alan. "5nm Kirin 1020 SoC tipped for next year's Huawei Mate 40 line". Phone Arena. Retrieved December 23, 2019.
- ^ CPUs, Arne Verheyde 2019-12-05T19:12:44Z (December 5, 2019). "Amazon Compares 64-core ARM Graviton2 to Intel's Xeon". Tom's Hardware. Retrieved December 6, 2019.
- ^ Morgan, Timothy Prickett (December 3, 2019). "Finally: AWS Gives Servers A Real Shot In The Arm". The Next Platform. Retrieved December 6, 2019.
- ^ "The Linley Group - TI Jacinto Accelerates Level 3 ADAS". www.linleygroup.com. Retrieved February 12, 2021.
- ^ "Apple unveils A14 Bionic processor with 40% faster CPU and 11.8 billion transistors". Venturebeat. November 10, 2020. Retrieved November 24, 2020.
- ^ "Apple says new Arm-based M1 chip offers the 'longest battery life ever in a Mac'". The Verge. November 10, 2020. Retrieved November 11, 2020.
- ^ Ikoba, Jed John (October 23, 2020). "Multiple benchmark tests rank the Kirin 9000 as one of the most-powerful chipset yet". Gizmochina. Retrieved November 14, 2020.
- ^ Frumusanu, Andrei. "Huawei Announces Mate 40 Series: Powered by 15.3bn Transistors 5nm Kirin 9000". www.anandtech.com. Retrieved November 14, 2020.
- ^ "AMD Ryzen 7 5800H Specs". TechPowerUp. Retrieved September 20, 2021.
- ^ "AMD Epyc 7763 specifications".
- ^ Shankland, Stephen. "Apple's A15 Bionic chip powers iPhone 13 with 15 billion transistors, new graphics and AI". CNET. Retrieved September 20, 2021.
- ^ "Apple iPhone 13 Pro Teardown TechInsights". www.techinsights.com. Retrieved September 29, 2021.
- ^ a b "Apple unveils M1 Pro and M1 Max chips for latest MacBook Pro laptops". VentureBeat. October 18, 2021.
- ^ "Apple Announces M1 Pro & M1 Max: Giant New Arm SoCs with All-Out Performance". AnanadTech. Retrieved December 2, 2021.
- ^ "Apple unveils new computer chips amid shortage". BBC News. October 19, 2021.
- ^ a b "Apple Joins 3D-Fabric Portfolio with M1 Ultra?". TechInsights. Retrieved July 8, 2022.
- ^ "Hot Chips 2020 live blog". AnandTech. August 17, 2020.
- ^ a b "AMD releases Milan-X CPUs". AnandTech. March 21, 2022.
- ^ "IBM Telum Hot Chips slide deck" (PDF). August 23, 2021.
- ^ "IBM z16 announcement". April 5, 2022.
- ^ "Apple unveils M2, taking the breakthrough performance and capabilities of M1 even further". Apple. June 6, 2022.
- ^ Twitter https://twitter.com/aschilling/status/1495821855667671041. Retrieved August 1, 2022.
{{cite web}}
:누락 또는 비어 있음title=
(도움말) - ^ Cutress, Dr Ian. "Intel Xeon Sapphire Rapids: How To Go Monolithic with Tiles". www.anandtech.com. Retrieved August 1, 2022.
- ^ Williams, Chris. "Nvidia's Tesla P100 has 15 billion transistors, 21TFLOPS". www.theregister.co.uk. Retrieved August 12, 2019.
- ^ "Famous Graphics Chips: NEC µPD7220 Graphics Display Controller". IEEE Computer Society. Institute of Electrical and Electronics Engineers. August 22, 2018. Retrieved June 21, 2019.
- ^ "GPU History: Hitachi ARTC HD63484. The second graphics processor". IEEE Computer Society. Institute of Electrical and Electronics Engineers. Retrieved June 21, 2019.
- ^ "Big Book of Amiga Hardware".
- ^ MOS Technology Agnus. ISBN 5511916846.
- ^ a b "30 Years of Console Gaming". Klinger Photography. August 20, 2017. Retrieved June 19, 2019.
- ^ "Sega Saturn". MAME. Retrieved July 18, 2019.
- ^ "ASIC CHIPS ARE INDUSTRY'S GAME WINNERS". The Washington Post. September 18, 1995. Retrieved June 19, 2019.
- ^ "Is it Time to Rename the GPU?". Jon Peddie Research. IEEE Computer Society. July 9, 2018. Retrieved June 19, 2019.
- ^ "FastForward Sony Taps LSI Logic for PlayStation Video Game CPU Chip". FastForward. Retrieved January 29, 2014.
- ^ a b "Reality Co-Processor − The Power In Nintendo64" (PDF). Silicon Graphics. August 26, 1997. Archived from the original (PDF) on May 19, 2020. Retrieved June 18, 2019.
- ^ "Imagination PowerVR PCX2 GPU". VideoCardz.net. Retrieved June 19, 2019.
- ^ a b c d e f g h Lilly, Paul (May 19, 2009). "From Voodoo to GeForce: The Awesome History of 3D Graphics". PC Gamer. Retrieved June 19, 2019.
- ^ a b c d e f g h i j k l m n o p q r s t u v w x y z aa ab ac ad ae af ag ah ai aj ak al am "3D accelerator database". Vintage 3D. Retrieved July 21, 2019.
- ^ "RIVA128 Datasheet". SGS Thomson Microelectronics. Retrieved July 21, 2019.
- ^ a b c Singer, Graham (April 3, 2013). "History of the Modern Graphics Processor, Part 2". TechSpot. Retrieved July 21, 2019.
- ^ Weinberg, Neil (September 7, 1998). "Comeback kid". Forbes. Retrieved June 19, 2019.
- ^ Charles, Bertie (1998). "Sega's New Dimension". Forbes. Forbes Incorporated. 162 (5–9): 206.
The chip, etched in 0.25-micron detail — state-of-the-art for graphics processors — fits 10 million transistors
- ^ "VideoLogic Neon 250 4MB". VideoCardz.net. Retrieved June 19, 2019.
- ^ Shimpi, Anand Lal (November 21, 1998). "Fall Comdex '98 Coverage". AnandTech. Retrieved June 19, 2019.
- ^ "NVIDIA NV10 A3 GPU Specs". TechPowerUp. Retrieved June 19, 2019.
- ^ IGN Staff (November 4, 2000). "Gamecube Versus PlayStation 2". IGN. Retrieved November 22, 2015.
- ^ "NVIDIA NV2A GPU Specs". TechPowerUp. Retrieved July 21, 2019.
- ^ "ATI Xenos GPU Specs". TechPowerUp. Retrieved June 21, 2019.
- ^ International, GamesIndustry (July 14, 2005). "TSMC to manufacture X360 GPU". Eurogamer. Retrieved August 22, 2006.
- ^ "NVIDIA Playstation 3 RSX 65nm Specs". TechPowerUp. Retrieved June 21, 2019.
- ^ "PS3 Graphics Chip Goes 65nm in Fall". Edge Online. June 26, 2008. Archived from the original on July 25, 2008.
- ^ "NVIDIA's 1.4 Billion Transistor GPU: GT200 Arrives as the GeForce GTX 280 & 260". AnandTech.com. Retrieved August 9, 2014.
- ^ "The Radeon HD 4850 & 4870: AMD Wins at $199 and $299". AnandTech.com. Retrieved August 9, 2014.
- ^ a b Glaskowsky, Peter. "ATI and Nvidia face off-obliquely". CNET. Archived from the original on January 27, 2012. Retrieved August 9, 2014.
- ^ Woligroski, Don (December 22, 2011). "AMD Radeon HD 7970". TomsHardware.com. Retrieved August 9, 2014.
- ^ http://www.nvidia.com/content/PDF/kepler/NVIDIA-Kepler-GK110-Architecture-Whitepaper.pdf[베어 URL PDF]
- ^ Smith, Ryan (November 12, 2012). "NVIDIA Launches Tesla K20 & K20X: GK110 Arrives At Last". AnandTech.
- ^ "Whitepaper: NVIDIA GeForce GTX 680" (PDF). NVIDIA. 2012. Archived from the original (PDF) on April 17, 2012.
- ^ a b Kan, Michael (August 18, 2020). "Xbox Series X May Give Your Wallet a Workout Due to High Chip Manufacturing Costs". PCMag. Retrieved September 5, 2020.
- ^ "AMD Xbox One GPU". www.techpowerup.com. Retrieved February 5, 2020.
- ^ "AMD PlayStation 4 GPU". www.techpowerup.com. Retrieved February 5, 2020.
- ^ "AMD Xbox One S GPU". www.techpowerup.com. Retrieved February 5, 2020.
- ^ "AMD PlayStation 4 Pro GPU". www.techpowerup.com. Retrieved February 5, 2020.
- ^ Smith, Ryan (June 29, 2016). "The AMD RX 480 Preview". Anandtech.com. Retrieved February 22, 2017.
- ^ a b c Schor, David (July 22, 2018). "VLSI 2018: GlobalFoundries 12nm Leading-Performance, 12LP". WikiChip Fuse. Retrieved May 31, 2019.
- ^ Harris, Mark (April 5, 2016). "Inside Pascal: NVIDIA's Newest Computing Platform". Nvidia developer blog.
- ^ a b c d e f "GPU Database: Pascal". TechPowerUp.
- ^ "AMD Xbox One X GPU". www.techpowerup.com. Retrieved February 5, 2020.
- ^ "Radeon's next-generation Vega architecture" (PDF).
- ^ Durant, Luke; Giroux, Olivier; Harris, Mark; Stam, Nick (May 10, 2017). "Inside Volta: The World's Most Advanced Data Center GPU". Nvidia developer blog.
- ^ "NVIDIA TURING GPU ARCHITECTURE: Graphics Reinvented" (PDF). Nvidia. 2018. Retrieved June 28, 2019.
- ^ "NVIDIA GeForce GTX 1650". www.techpowerup.com. Retrieved February 5, 2020.
- ^ "NVIDIA GeForce GTX 1660 Ti". www.techpowerup.com. Retrieved February 5, 2020.
- ^ "AMD Radeon RX 5700 XT". www.techpowerup.com. Retrieved February 5, 2020.
- ^ "AMD Radeon RX 5500 XT". www.techpowerup.com. Retrieved February 5, 2020.
- ^ Walton, Jared (May 14, 2020). "Nvidia Unveils Its Next-Generation 7nm Ampere A100 GPU for Data Centers, and It's Absolutely Massive". Tom's Hardware.
- ^ "Nvidia Ampere Architecture". www.nvidia.com. Retrieved May 15, 2020.
- ^ "NVIDIA GA102 GPU Specs". Techpowerup. Retrieved September 5, 2020.
- ^ "'Giant Step into the Future': NVIDIA CEO Unveils GeForce RTX 30 Series GPUs". www.nvidia.com. September 2020. Retrieved September 5, 2020.
- ^ "NVIDIA GeForce RTX 3070 Specs". TechPowerUp. Retrieved September 20, 2021.
- ^ "AMD Radeon Instinct MI250 Specs". TechPowerUp. Retrieved November 9, 2021.
- ^ "Nvidia Launches Hopper H100 GPU, New DGXs and Grace Superchips". HPCWire. Retrieved March 23, 2022.
- ^ 「대만 회사 UMC, Xilinx에 65 nm FPGA 납품」SDA-ASIA 2006년 11월 9일 목요일
- ^ ""Altera's new 40nm FPGAs — 2.5 billion transistors!". pldesignline.com. Archived from the original on June 19, 2010. Retrieved January 22, 2009.
- ^ "Altera unveils 28-nm Stratix V FPGA family". April 20, 2010. Retrieved April 20, 2010.
- ^ "Design of a High-Density SoC FPGA at 20nm" (PDF). 2014. Retrieved July 16, 2017.
- ^ Maxfield, Clive (October 2011). "New Xilinx Virtex-7 2000T FPGA provides equivalent of 20 million ASIC gates". EETimes. AspenCore. Retrieved September 4, 2019.
- ^ Greenhill, D.; Ho, R.; Lewis, D.; Schmit, H.; Chan, K. H.; Tong, A.; Atsatt, S.; How, D.; McElheny, P. (February 2017). "3.3 A 14nm 1GHz FPGA with 2.5D transceiver integration". 2017 IEEE International Solid-State Circuits Conference (ISSCC): 54–55. doi:10.1109/ISSCC.2017.7870257. ISBN 978-1-5090-3758-2. S2CID 2135354.
- ^ "3.3 A 14nm 1GHz FPGA with 2.5D transceiver integration DeepDyve". May 17, 2017. Archived from the original on May 17, 2017. Retrieved September 19, 2019.
- ^ Santarini, Mike (May 2014). "Xilinx Ships Industry's First 20-nm All Programmable Devices" (PDF). Xcell journal. No. 86. Xilinx. p. 14. Retrieved June 3, 2014.
- ^ Gianelli, Silvia (January 2015). "Xilinx Delivers the Industry's First 4M Logic Cell Device, Offering >50M Equivalent ASIC Gates and 4X More Capacity than Competitive Alternatives". www.xilinx.com. Retrieved August 22, 2019.
- ^ Sims, Tara (August 2019). "Xilinx Announces the World's Largest FPGA Featuring 9 Million System Logic Cells". www.xilinx.com. Retrieved August 22, 2019.
- ^ Verheyde, Arne (August 2019). "Xilinx Introduces World's Largest FPGA With 35 Billion Transistors". www.tomshardware.com. Retrieved August 23, 2019.
- ^ Cutress, Ian (August 2019). "Xilinx Announces World Largest FPGA: Virtex Ultrascale+ VU19P with 9m Cells". www.anandtech.com. Retrieved September 25, 2019.
- ^ Abazovic, Fuad (May 2019). "Xilinx 7nm Versal taped out last year". Retrieved September 30, 2019.
- ^ Cutress, Ian (August 2019). "Hot Chips 31 Live Blogs: Xilinx Versal AI Engine". Retrieved September 30, 2019.
- ^ Krewell, Kevin (August 2019). "Hot Chips 2019 highlights new AI strategies". Retrieved September 30, 2019.
- ^ Leibson, Steven (November 6, 2019). "Intel announces Intel Stratix 10 GX 10M FPGA, worlds highest capacity with 10.2 million logic elements". Retrieved November 7, 2019.
- ^ Verheyde, Arne (November 6, 2019). "Intel Introduces World's Largest FPGA With 43.3 Billion Transistors". Retrieved November 7, 2019.
- ^ Cutress, Ian (August 2020). "Hot Chips 2020 Live Blog: Xilinx Versal ACAPs". Retrieved September 9, 2020.
- ^ "Xilinx Announces Full Production Shipments of 7nm Versal AI Core and Versal Prime Series Devices". April 27, 2021. Retrieved May 8, 2021.
- ^ a b Robert Dennard의 DRAM 메모리 history-computer.com
- ^ a b c d "Late 1960s: Beginnings of MOS memory" (PDF). Semiconductor History Museum of Japan. January 23, 2019. Retrieved June 27, 2019.
- ^ a b c d e f "1970: Semiconductors compete with magnetic cores". Computer History Museum. Retrieved June 19, 2019.
- ^ "2.1.1 Flash Memory". TU Wien. Retrieved June 20, 2019.
- ^ Shilov, Anton. "SK Hynix Starts Production of 128-Layer 4D NAND, 176-Layer Being Developed". www.anandtech.com. Retrieved September 16, 2019.
- ^ "Samsung Begins Production of 100+ Layer Sixth-Generation V-NAND Flash". PC Perspective. August 11, 2019. Retrieved September 16, 2019.
- ^ a b "1966: Semiconductor RAMs Serve High-speed Storage Needs". Computer History Museum. Retrieved June 19, 2019.
- ^ "Specifications for Toshiba "TOSCAL" BC-1411". Old Calculator Web Museum. Archived from the original on July 3, 2017. Retrieved May 8, 2018.
- ^ "Toshiba "Toscal" BC-1411 Desktop Calculator". Old Calculator Web Museum. Archived from the original on May 20, 2007.
- ^ IBM first in IC memory. Computer History Museum. IBM Corporation. 1965. Retrieved June 19, 2019.
- ^ a b c d e f g h i j k l m "A chronological list of Intel products. The products are sorted by date" (PDF). Intel museum. Intel Corporation. July 2005. Archived from the original (PDF) on August 9, 2007. Retrieved July 31, 2007.
- ^ a b "1970s: SRAM evolution" (PDF). Semiconductor History Museum of Japan. Retrieved June 27, 2019.
- ^ a b c Pimbley, J. (2012). Advanced CMOS Process Technology. Elsevier. p. 7. ISBN 9780323156806.
- ^ a b "Intel: 35 Years of Innovation (1968–2003)" (PDF). Intel. 2003. Retrieved June 26, 2019.
- ^ a b Lojek, Bo (2007). History of Semiconductor Engineering. Springer Science & Business Media. pp. 362–363. ISBN 9783540342588.
The i1103 was manufactured on a 6-mask silicon-gate P-MOS process with 8 μm minimum features. The resulting product had a 2,400 µm2 memory cell size, a die size just under 10 mm2, and sold for around $21.
- ^ "Manufacturers in Japan enter the DRAM market and integration densities are improved" (PDF). Semiconductor History Museum of Japan. Retrieved June 27, 2019.
- ^ a b c d e f g h i j k l m n Gealow, Jeffrey Carl (August 10, 1990). "Impact of Processing Technology on DRAM Sense Amplifier Design" (PDF). Massachusetts Institute of Technology. pp. 149–166. Retrieved June 25, 2019 – via CORE.
- ^ "Silicon Gate MOS 2102A". Intel. Retrieved June 27, 2019.
- ^ "One of the Most Successful 16K Dynamic RAMs: The 4116". National Museum of American History. Smithsonian Institution. Retrieved June 20, 2019.
- ^ Component Data Catalog (PDF). Intel. 1978. pp. 3–94. Retrieved June 27, 2019.
- ^ a b c d e f g h i j k l m n o p q r s t "Memory". STOL (Semiconductor Technology Online). Retrieved June 25, 2019.
- ^ "The Cutting Edge of IC Technology: The First 294,912-Bit (288K) Dynamic RAM". National Museum of American History. Smithsonian Institution. Retrieved June 20, 2019.
- ^ "Computer History for 1984". Computer Hope. Retrieved June 25, 2019.
- ^ "Japanese Technical Abstracts". Japanese Technical Abstracts. University Microfilms. 2 (3–4): 161. 1987.
The announcement of 1M DRAM in 1984 began the era of megabytes.
- ^ "KM48SL2000-7 Datasheet". Samsung. August 1992. Retrieved June 19, 2019.
- ^ "Electronic Design". Electronic Design. Hayden Publishing Company. 41 (15–21). 1993.
The first commercial synchronous DRAM, the Samsung 16-Mbit KM48SL2000, employs a single-bank architecture that lets system designers easily transition from asynchronous to synchronous systems.
- ^ ISSCC의 DRAM은 기가비트 장벽을 깨고 시스템 설계에 큰 영향을 미칩니다. (Dynamic Random Access Memory; International Solid-State Circuits Conference; Hitachi Ltd. 및 NEC Corp. 연구개발) 하이빔 비즈니스, 1995년 1월 9일
- ^ a b "Japanese Company Profiles" (PDF). Smithsonian Institution. 1996. Retrieved June 27, 2019.
- ^ a b "History: 1990s". SK Hynix. Retrieved July 6, 2019.
- ^ "Samsung 50nm 2GB DDR3 chips are industry's smallest". SlashGear. September 29, 2008. Retrieved June 25, 2019.
- ^ Shilov, Anton (July 19, 2017). "Samsung Increases Production Volumes of 8 GB HBM2 Chips Due to Growing Demand". AnandTech. Retrieved June 29, 2019.
- ^ "Samsung Unleashes a Roomy DDR4 256GB RAM". Tom's Hardware. September 6, 2018. Retrieved June 21, 2019.
- ^ "First 3D Nanotube and RRAM ICs Come Out of Foundry". IEEE Spectrum: Technology, Engineering, and Science News. July 19, 2019. Retrieved September 16, 2019.
This wafer was made just last Friday… and it's the first monolithic 3D IC ever fabricated within a foundry
- ^ "Three Dimensional Monolithic System-on-a-Chip". www.darpa.mil. Retrieved September 16, 2019.
- ^ "DARPA 3DSoC Initiative Completes First Year, Update Provided at ERI Summit on Key Steps Achieved to Transfer Technology into SkyWater's 200mm U.S. Foundry". Skywater Technology Foundry (Press release). July 25, 2019. Retrieved September 16, 2019.
- ^ "DD28F032SA Datasheet". Intel. Retrieved June 27, 2019.
- ^ "TOSHIBA ANNOUNCES 0.13 MICRON 1Gb MONOLITHIC NAND FEATURING LARGE BLOCK SIZE FOR IMPROVED WRITE/ERASE SPEED PERFORMANCE". Toshiba. September 9, 2002. Archived from the original on March 11, 2006. Retrieved March 11, 2006.
- ^ "TOSHIBA AND SANDISK INTRODUCE A ONE GIGABIT NAND FLASH MEMORY CHIP, DOUBLING CAPACITY OF FUTURE FLASH PRODUCTS". Toshiba. November 12, 2001. Retrieved June 20, 2019.
- ^ a b c d "Our Proud Heritage from 2000 to 2009". Samsung Semiconductor. Samsung. Retrieved June 25, 2019.
- ^ "TOSHIBA ANNOUNCES 1 GIGABYTE COMPACTFLASH™CARD". Toshiba. September 9, 2002. Archived from the original on March 11, 2006. Retrieved March 11, 2006.
- ^ a b c d "History". Samsung Electronics. Samsung. Retrieved June 19, 2019.
- ^ a b "TOSHIBA COMMERCIALIZES INDUSTRY'S HIGHEST CAPACITY EMBEDDED NAND FLASH MEMORY FOR MOBILE CONSUMER PRODUCTS". Toshiba. April 17, 2007. Archived from the original on November 23, 2010. Retrieved November 23, 2010.
- ^ a b "Toshiba Launches the Largest Density Embedded NAND Flash Memory Devices". Toshiba. August 7, 2008. Retrieved June 21, 2019.
- ^ "Toshiba Launches Industry's Largest Embedded NAND Flash Memory Modules". Toshiba. June 17, 2010. Retrieved June 21, 2019.
- ^ "Samsung e·MMC Product family" (PDF). Samsung Electronics. December 2011. Retrieved July 15, 2019.
- ^ Shilov, Anton (December 5, 2017). "Samsung Starts Production of 512 GB UFS NAND Flash Memory: 64-Layer V-NAND, 860 MB/s Reads". AnandTech. Retrieved June 23, 2019.
- ^ Tallis, Billy (October 17, 2018). "Samsung Shares SSD Roadmap for QLC NAND And 96-layer 3D NAND". AnandTech. Retrieved June 27, 2019.
- ^ Han-Way Huang (December 5, 2008). Embedded System Design with C805. Cengage Learning. p. 22. ISBN 978-1-111-81079-5. Archived from the original on April 27, 2018.
- ^ Marie-Aude Aufaure; Esteban Zimányi (January 17, 2013). Business Intelligence: Second European Summer School, eBISS 2012, Brussels, Belgium, July 15-21, 2012, Tutorial Lectures. Springer. p. 136. ISBN 978-3-642-36318-4. Archived from the original on April 27, 2018.
- ^ a b c d "1965: Semiconductor Read-Only-Memory Chips Appear". Computer History Museum. Retrieved June 20, 2019.
- ^ "1971: Reusable semiconductor ROM introduced". The Storage Engine. Computer History Museum. Retrieved June 19, 2019.
- ^ Iizuka, H.; Masuoka, F.; Sato, Tai; Ishikawa, M. (1976). "Electrically alterable avalanche-injection-type MOS READ-ONLY memory with stacked-gate structure". IEEE Transactions on Electron Devices. 23 (4): 379–387. Bibcode:1976ITED...23..379I. doi:10.1109/T-ED.1976.18415. ISSN 0018-9383. S2CID 30491074.
- ^ µCOM-43 SINGLE CHIP MICROCOMPUTER: USERS' MANUAL (PDF). NEC Microcomputers. January 1978. Retrieved June 27, 2019.
- ^ "2716: 16K (2K x 8) UV ERASABLE PROM" (PDF). Intel. Retrieved June 27, 2019.
- ^ "1982 CATALOG" (PDF). NEC Electronics. Retrieved June 20, 2019.
- ^ Component Data Catalog (PDF). Intel. 1978. pp. 1–3. Retrieved June 27, 2019.
- ^ "27256 Datasheet" (PDF). Intel. Retrieved July 2, 2019.
- ^ "History of Fujitsu's Semiconductor Business". Fujitsu. Retrieved July 2, 2019.
- ^ "D27512-30 Datasheet" (PDF). Intel. Retrieved July 2, 2019.
- ^ "A Computer Pioneer Rediscovered, 50 Years On". The New York Times. April 20, 1994. Archived from the original on November 4, 2016.
- ^ "History of Computers and Computing, Birth of the modern computer, Relays computer, George Stibitz". history-computer.com. Retrieved August 22, 2019.
Initially the 'Complex Number Computer' performed only complex multiplication and division, but later a simple modification enabled it to add and subtract as well. It used about 400-450 binary relays, 6-8 panels, and ten multiposition, multipole relays called "crossbars" for temporary storage of numbers.
- ^ a b c d e "1953: Transistorized Computers Emerge". Computer History Museum. Retrieved June 19, 2019.
- ^ a b "ETL Mark III Transistor-Based Computer". IPSJ Computer Museum. Information Processing Society of Japan. Retrieved June 19, 2019.
- ^ a b "Brief History". IPSJ Computer Museum. Information Processing Society of Japan. Retrieved June 19, 2019.
- ^ "1962: Aerospace systems are first the applications for ICs in computers The Silicon Engine Computer History Museum". www.computerhistory.org. Retrieved September 2, 2019.
- ^ a b "PDP-8 (Straight 8) Computer Functional Restoration". www.pdp8.net. Retrieved August 22, 2019.
backplanes contain 230 cards, approximately 10,148 diodes, 1409 transistors, 5615 resistors, and 1674 capacitors
- ^ "IBM 608 calculator". IBM. January 23, 2003. Retrieved March 8, 2021.
- ^ "【NEC】 NEAC-2201". IPSJ Computer Museum. Information Processing Society of Japan. Retrieved June 19, 2019.
- ^ "【Hitachi and Japanese National Railways】 MARS-1". IPSJ Computer Museum. Information Processing Society of Japan. Retrieved June 19, 2019.
- ^ IBM 7070 데이터 처리 시스템. 에이버리 외 (167쪽)
- ^ "【Matsushita Electric Industrial】 MADIC-I transistor-based computer". IPSJ Computer Museum. Information Processing Society of Japan. Retrieved June 19, 2019.
- ^ "【NEC】 NEAC-2203". IPSJ Computer Museum. Information Processing Society of Japan. Retrieved June 19, 2019.
- ^ "【Toshiba】 TOSBAC-2100". IPSJ Computer Museum. Information Processing Society of Japan. Retrieved June 19, 2019.
- ^ 7090 데이터 처리 시스템
- ^ 루이지 로그리포."처음 두 대의 컴퓨터: Elea 9003 및 Elea 6001: '베어메탈' 프로그래머의 추억.
- ^ "【Mitsubishi Electric】 MELCOM 1101". IPSJ Computer Museum. Information Processing Society of Japan. Retrieved June 19, 2019.
- ^ "【NEC】NEAC-L2". IPSJ Computer Museum. Information Processing Society of Japan. Retrieved June 19, 2019.
- ^ "디지털 기기 PDP-8/S"
- ^ "PDP-8/S - 비용 절감의 실천"
- ^ 'PDP-8/S'
- ^ "Digital Equipment Corporation PDP-8: 모델과 옵션: PDP-8/I" 입니다.
- ^ 제임스 F.올로플린."PDP-8/I: 안쪽은 더 크고 바깥쪽은 더 작습니다."
- ^ Jan M. Rabaey, Digital Integrated Circuits, 2001년 가을: 코스 노트, 제6장: CMOS에서의 조합 논리 게이트 설계, 2012년 10월 27일 취득.
- ^ Richard F. Tinder (January 2000). Engineering Digital Design. Academic Press. ISBN 978-0-12-691295-1.
- ^ a b c d Engineers, Institute of Electrical Electronics (2000). IEEE Standard 100: The Authoritative Dictionary of IEEE Standards Terms (7th ed.). doi:10.1109/IEEESTD.2000.322230. ISBN 978-0-7381-2601-2. IEEE Std 100-2000.
- ^ a b c Smith, Kevin (August 11, 1983). "Image processor handles 256 pixels simultaneously". Electronics.
- ^ Kanellos, Michael (February 9, 2005). "Cell chip: Hit or hype?". CNET News. Archived from the original on October 25, 2012.
- ^ Kennedy, Patrick (June 2019). "Hands-on With a Graphcore C2 IPU PCIe Card at Dell Tech World". servethehome.com. Retrieved December 29, 2019.
- ^ "Colossus – Graphcore". en.wikichip.org. Retrieved December 29, 2019.
- ^ Graphcore. "IPU Technology". www.graphcore.ai.
- ^ "Cerebras Unveils 2nd Gen Wafer Scale Engine: 850,000 Cores, 2.6 Trillion Transistors - ExtremeTech". www.extremetech.com. Retrieved April 22, 2021.
- ^ a b Schor, David (April 6, 2019). "TSMC Starts 5-Nanometer Risk Production". WikiChip Fuse. Retrieved April 7, 2019.
- ^ "1960: Metal Oxide Semiconductor (MOS) Transistor Demonstrated". Computer History Museum. Retrieved July 17, 2019.
- ^ Lojek, Bo (2007). History of Semiconductor Engineering. Springer Science & Business Media. pp. 321–3. ISBN 9783540342588.
- ^ "1963: Complementary MOS Circuit Configuration is Invented". Computer History Museum. Retrieved July 6, 2019.
- ^ "1964: First Commercial MOS IC Introduced". Computer History Museum. Retrieved July 17, 2019.
- ^ a b Lojek, Bo (2007). History of Semiconductor Engineering. Springer Science & Business Media. p. 330. ISBN 9783540342588.
- ^ Lambrechts, Wynand; Sinha, Saurabh; Abdallah, Jassem Ahmed; Prinsloo, Jaco (2018). Extending Moore's Law through Advanced Semiconductor Design and Processing Techniques. CRC Press. p. 59. ISBN 9781351248655.
- ^ Belzer, Jack; Holzman, Albert G.; Kent, Allen (1978). Encyclopedia of Computer Science and Technology: Volume 10 – Linear and Matrix Algebra to Microorganisms: Computer-Assisted Identification. CRC Press. p. 402. ISBN 9780824722609.
- ^ "Intel® Microprocessor Quick Reference Guide". Intel. Retrieved June 27, 2019.
- ^ "1978: Double-well fast CMOS SRAM (Hitachi)" (PDF). Semiconductor History Museum of Japan. Retrieved July 5, 2019.
- ^ "0.18-micron Technology". TSMC. Retrieved June 30, 2019.
- ^ a b c d 65nm CMOS 프로세스 테크놀로지
- ^ 디펜도르프, 키스(1999년 11월 15일)."할은 스파크를 날게 한다"마이크로프로세서 보고서, 제13권, 제5호
- ^ a b Cutress, Ian. "Intel's 10nm Cannon Lake and Core i3-8121U Deep Dive Review". AnandTech. Retrieved June 19, 2019.
- ^ "Samsung Shows Industry's First 2-Gigabit DDR2 SDRAM". Samsung Semiconductor. Samsung. September 20, 2004. Retrieved June 25, 2019.
- ^ Williams, Martyn (July 12, 2004). "Fujitsu, Toshiba begin 65nm chip trial production". InfoWorld. Retrieved June 26, 2019.
- ^ Via Technology Forum 2005 및 Elpida 2005 연차 보고서에서의 Elpida 프레젠테이션
- ^ 후지쯔, 고급 서버, 모바일 애플리케이션용 세계 정상급 65나노미터 프로세스 테크놀로지 도입
- ^ a b c d "Intel Now Packs 100 Million Transistors in Each Square Millimeter". IEEE Spectrum: Technology, Engineering, and Science News. March 30, 2017. Retrieved November 14, 2018.
- ^ "40nm Technology". TSMC. Retrieved June 30, 2019.
- ^ "Toshiba Makes Major Advances in NAND Flash Memory with 3-bit-per-cell 32nm generation and with 4-bit-per-cell 43nm technology". Toshiba. February 11, 2009. Retrieved June 21, 2019.
- ^ a b "History: 2010s". SK Hynix. Archived from the original on April 29, 2021. Retrieved July 8, 2019.
- ^ Shimpi, Anand Lal (June 8, 2012). "SandForce Demos 19nm Toshiba & 20nm IMFT NAND Flash". AnandTech. Retrieved June 19, 2019.
- ^ a b Schor, David (April 16, 2019). "TSMC Announces 6-Nanometer Process". WikiChip Fuse. Retrieved May 31, 2019.
- ^ "16/12nm Technology". TSMC. Retrieved June 30, 2019.
- ^ a b c "VLSI 2018: Samsung's 8nm 8LPP, a 10nm extension". WikiChip Fuse. July 1, 2018. Retrieved May 31, 2019.
- ^ "Samsung Mass Producing 128Gb 3-bit MLC NAND Flash". Tom's Hardware. April 11, 2013. Retrieved June 21, 2019.
- ^ "10nm Technology". TSMC. Retrieved June 30, 2019.
- ^ a b c d e f g h i "Can TSMC maintain their process technology lead". SemiWiki. April 29, 2020.
- ^ a b Jones, Scotten (May 3, 2019). "TSMC and Samsung 5nm Comparison". Semiwiki. Retrieved July 30, 2019.
- ^ a b c Nenni, Daniel (January 2, 2019). "Samsung vs TSMC 7nm Update". Semiwiki. Retrieved July 6, 2019.
- ^ "7nm Technology". TSMC. Retrieved June 30, 2019.
- ^ Schor, David (June 15, 2018). "A Look at Intel's 10nm Std Cell as TechInsights Reports on the i3-8121U, finds Ruthenium". WikiChip Fuse. Retrieved May 31, 2019.
- ^ a b "Samsung Foundry update 2019". SemiWiki. August 6, 2019.
- ^ Jones, Scotten, 7nm, 5nm and 3nm Logic, current and projected processes
- ^ Shilov, Anton. "Samsung Completes Development of 5nm EUV Process Technology". AnandTech. Retrieved May 31, 2019.
- ^ a b c d "Samsung Foundry Innovations Power the Future of Big Data, AI/ML and Smart, Connected Devices". October 7, 2021.
- ^ "Qualcomm confirms Snapdragon 8 Gen 1 is made using Samsung's 4nm process". December 2, 2021.
- ^ "List of Snapdragon 8 Gen 1 smartphones available since December 2021". January 14, 2022.
- ^ a b "TSMC Extends Its 5nm Family With A New Enhanced-Performance N4P Node". WikiChip. October 26, 2021.
- ^ "MediaTek Launches Dimensity 9000 built on TSMC N4 process". December 16, 2021.
- ^ "TSMC Expands Advanced Technology Leadership with N4P Process (press release)". TSMC. October 26, 2021.
- ^ "TSMC Plans New Fab for 3nm". EE Times. December 12, 2016. Retrieved September 26, 2019.
- ^ a b c "TSMC Roadmap Update: 3nm in Q1 2023, 3nm Enhanced in 2024, 2nm in 2025". www.anandtech.com. October 18, 2021.
- ^ Armasu, Lucian (January 11, 2019), "Samsung Plans Mass Production of 3nm GAAFET Chips in 2021", www.tomshardware.com
- ^ "Samsung Starts 3nm Production: The Gate-All-Around (GAAFET) Era Begins". AnandTech. June 30, 2022.
- ^ "TSMC Introduces N4X Process (press release)". TSMC. December 16, 2021.
- ^ "The Future Is Now (blog post)". TSMC. December 16, 2021.
- ^ "TSMC Unveils N4X Node". AnandTech. December 17, 2021.
- ^ a b "TSMC roadmap update". AnandTech. April 22, 2022.
- ^ Smith, Ryan (June 13, 2022). "Intel 4 Process Node In Detail: 2x Density Scaling, 20% Improved Performance". AnandTech.
- ^ Alcorn, Paul (March 24, 2021). "Intel Fixes 7nm, Meteor Lake and Granite Rapids Coming in 2023". Tom's Hardware. Retrieved June 1, 2021.
- ^ a b c d Cutress, Dr Ian. "Intel's Process Roadmap to 2025: with 4nm, 3nm, 20A and 18A?!". www.anandtech.com. Retrieved July 27, 2021.
- ^ a b c Cutress, Dr Ian (February 17, 2022). "Intel Discloses Multi-Generation Xeon Scalable Roadmap: New E-Core Only Xeons in 2024". www.anandtech.com.